blob: 8a53b4eeae3496f80220d4fe319a5ef2f1da838b [file] [log] [blame]
//@ run-pass
pub fn main() {
let x = [1; 100];
let mut y = 0;
for i in &x[..] {
y += *i
}
assert_eq!(y, 100);
}