blob: 012f67a7e1f344352e7acb0d20c6332e6f9c3fe2 [file] [log] [blame]
0: WRITE i
1: READ i
2: COND_GOTO [END] 14
3: READ i
4: COND_GOTO [END] 6
5: GOTO [END] 14
6: READ i
7: COND_GOTO [END] 9
8: GOTO [END] 11
9: READ c
10: WRITE c
11: READ i
12: WRITE i
13: GOTO [END] 1