blob: fac9c6a8f7cd33e4ea65dcdb1fa82dd74f6906a9 [file] [log] [blame]
// Checkpointing GC script
sh_binary {
name: "checkpoint_gc",
src: "checkpoint_gc.sh",
vendor: true,
}