blob: 30b525422ea2aa246f28d5b8e697d7864e05ccce [file] [log] [blame]
model_checkpoint_path: "ckpt"
all_model_checkpoint_paths: "ckpt"