blob: df43b5f925eb5fdb47d26b783f397acfc14f0ec6 [file] [log] [blame]
package p
class Clz {
private companion object {
fun fuun() {
}
val aaaa = 0
}
}