blob: a2c81e0765a9cf54914905c29f0c2896aac81f7c [file] [log] [blame]
[abi_symbol_list]
# commonly used symbols
module_layout
__put_task_struct
[abi_symbol_list]
# commonly used symbols
add_uevent_var
alloc_io_pgtable_ops
alloc_workqueue
__arch_copy_from_user
__arch_copy_to_user
arm64_const_caps_ready
arm64_use_ng_mappings
blocking_notifier_call_chain
blocking_notifier_chain_register
blocking_notifier_chain_unregister
bpf_trace_run1
bpf_trace_run2
bpf_trace_run3
bpf_trace_run4
bpf_trace_run5
bus_register
bus_unregister
cancel_delayed_work
cancel_delayed_work_sync
cancel_work_sync
capable
cdev_device_add
cdev_device_del
cdev_init
__check_object_size
clk_bulk_disable
clk_bulk_enable
clk_bulk_prepare
clk_bulk_unprepare
clk_disable
clk_enable
clk_fixed_rate_ops
clk_get
__clk_get_name
clk_get_rate
clk_hw_get_parent
clk_hw_get_rate
clk_hw_register
clk_hw_unregister
clk_prepare
clk_put
clk_round_rate
clk_set_rate
clk_sync_state
clk_unprepare
complete
complete_all
completion_done
__const_udelay
consume_skb
_copy_from_iter_full
cpu_hwcap_keys
cpu_hwcaps
cpumask_next
cpu_number
__cpu_online_mask
crc32_le
debugfs_create_dir
debugfs_create_file
debugfs_create_regset32
debugfs_create_u32
debugfs_create_x32
debugfs_remove
default_llseek
delayed_work_timer_fn
del_timer
del_timer_sync
destroy_workqueue
dev_coredumpv
dev_driver_string
_dev_err
dev_err_probe
dev_get_regmap
device_find_child
device_for_each_child
device_get_match_data
device_initialize
device_init_wakeup
device_property_present
device_property_read_u32_array
device_register
device_set_wakeup_capable
device_unregister
device_wakeup_enable
_dev_info
__dev_kfree_skb_any
devm_add_action
devm_clk_bulk_get
devm_clk_bulk_get_all
devm_clk_get
devm_clk_get_optional
devm_clk_hw_register
devm_free_irq
devm_gpiod_get
devm_gpiod_get_optional
devm_ioremap
devm_ioremap_resource
devm_ioremap_wc
devm_iounmap
devm_kasprintf
devm_kfree
devm_kmalloc
devm_memremap
devm_of_clk_add_hw_provider
devm_of_icc_get
__devm_of_phy_provider_register
devm_of_platform_populate
devm_phy_create
devm_phy_get
devm_pinctrl_register
devm_platform_ioremap_resource
devm_regmap_add_irq_chip
__devm_regmap_init
__devm_regmap_init_i2c
__devm_regmap_init_mmio_clk
devm_regulator_bulk_get
devm_regulator_get
devm_regulator_register
devm_request_threaded_irq
devm_reset_control_array_get
__devm_reset_control_get
devm_reset_controller_register
devm_snd_soc_register_component
__devm_spi_alloc_controller
devm_spi_register_controller
devm_usb_get_phy_by_phandle
devm_watchdog_register_device
_dev_notice
dev_pm_domain_attach_by_name
dev_pm_domain_detach
dev_pm_genpd_set_performance_state
dev_pm_opp_add
dev_pm_opp_find_freq_ceil
dev_pm_opp_find_freq_exact
dev_pm_opp_get_level
dev_pm_opp_get_opp_count
dev_pm_opp_of_add_table
dev_pm_opp_of_find_icc_paths
dev_pm_opp_of_remove_table
dev_pm_opp_put
dev_pm_opp_put_clkname
dev_pm_opp_set_bw
dev_pm_opp_set_clkname
dev_pm_opp_set_rate
dev_set_name
_dev_warn
disable_irq
disable_irq_nosync
divider_get_val
divider_recalc_rate
divider_round_rate_parent
dma_alloc_attrs
dma_buf_export
dma_free_attrs
dmam_alloc_attrs
dma_map_page_attrs
dma_map_sg_attrs
dma_mmap_attrs
dma_pool_alloc
dma_pool_create
dma_pool_destroy
dma_pool_free
dma_release_channel
dma_request_chan
dma_set_coherent_mask
dma_set_mask
dma_sync_single_for_cpu
dma_sync_single_for_device
dma_unmap_page_attrs
dma_unmap_sg_attrs
do_SAK
driver_register
driver_unregister
drm_add_edid_modes
drm_atomic_helper_connector_destroy_state
drm_atomic_helper_connector_duplicate_state
drm_atomic_helper_connector_reset
drm_connector_attach_encoder
drm_connector_cleanup
drm_connector_init
drm_connector_update_edid_property
__drm_err
drm_hdmi_avi_infoframe_from_display_mode
drm_helper_probe_single_connector_modes
drm_mode_vrefresh
enable_irq
eth_platform_get_mac_address
eth_validate_addr
event_triggers_call
extcon_get_edev_by_phandle
extcon_get_state
extcon_register_notifier
extcon_unregister_notifier
find_next_bit
find_next_zero_bit
finish_wait
flush_workqueue
free_io_pgtable_ops
free_irq
generic_handle_irq
generic_mii_ioctl
get_device
get_random_bytes
gic_nonsecure_priorities
gpiochip_add_data_with_key
gpiochip_add_pin_range
gpiochip_generic_free
gpiochip_generic_request
gpiochip_get_data
gpiochip_remove
gpiod_direction_output
gpiod_direction_output_raw
gpiod_set_consumer_name
gpiod_set_raw_value
gpiod_set_value_cansleep
gpio_to_desc
handle_edge_irq
handle_level_irq
handle_nested_irq
handle_sysrq
hdmi_audio_infoframe_init
i2c_adapter_type
i2c_add_adapter
i2c_add_numbered_adapter
i2c_del_adapter
i2c_del_driver
i2c_parse_fw_timings
i2c_register_driver
__i2c_smbus_xfer
i2c_smbus_xfer
i2c_transfer
icc_disable
icc_enable
icc_link_create
icc_node_add
icc_node_create
icc_nodes_remove
icc_provider_add
icc_provider_del
icc_put
icc_set_bw
icc_sync_state
ida_alloc_range
ida_free
idr_alloc
idr_alloc_cyclic
idr_destroy
idr_find
idr_get_next
idr_remove
ieee80211_get_channel_khz
init_net
__init_swait_queue_head
init_timer_key
init_wait_entry
__init_waitqueue_head
iommu_attach_device
iommu_detach_device
iommu_domain_alloc
iommu_domain_free
iommu_present
iommu_unmap
__ioread32_copy
__ioremap
iounmap
__iowrite32_copy
irq_chip_disable_parent
irq_chip_enable_parent
irq_chip_eoi_parent
irq_chip_mask_parent
irq_chip_set_affinity_parent
irq_chip_set_parent_state
irq_chip_set_type_parent
irq_chip_set_vcpu_affinity_parent
irq_chip_set_wake_parent
irq_chip_unmask_parent
__irq_domain_add
irq_domain_free_irqs_common
irq_domain_remove
irq_domain_xlate_twocell
irq_find_mapping
irq_find_matching_fwspec
irq_modify_status
irq_of_parse_and_map
irq_set_chained_handler_and_data
irq_set_chip_and_handler_name
irq_set_chip_data
irq_set_irq_wake
irq_to_desc
is_vmalloc_addr
jiffies
kasprintf
kernel_connect
kernel_getsockname
kernel_recvmsg
kernel_sendmsg
kfree
kfree_const
kfree_skb
__kmalloc
kmalloc_caches
kmalloc_order_trace
kmem_cache_alloc_trace
kmemdup
kstrdup
kstrdup_const
kstrtoint
kstrtouint
ktime_get
ktime_get_mono_fast_ns
ktime_get_real_ts64
__list_add_valid
__list_del_entry_valid
mbox_client_txdone
mbox_free_channel
mbox_request_channel
mbox_send_message
memcpy
__memcpy_fromio
__memcpy_toio
memmove
memremap
memset
memstart_addr
mii_ethtool_gset
mii_nway_restart
misc_deregister
misc_register
mod_timer
module_layout
__msecs_to_jiffies
msleep
__mutex_init
mutex_is_locked
mutex_lock
mutex_unlock
napi_complete_done
napi_disable
__napi_schedule
napi_schedule_prep
__netdev_alloc_skb
netdev_err
netdev_info
netdev_warn
netif_napi_add
__netif_napi_del
no_llseek
nr_cpu_ids
__num_online_cpus
nvmem_cell_read
of_address_to_resource
of_alias_get_id
of_clk_add_hw_provider
of_clk_del_provider
of_clk_get
of_clk_hw_simple_get
of_clk_set_defaults
of_device_get_match_data
of_device_is_compatible
of_device_uevent_modalias
of_dma_configure_id
of_find_device_by_node
of_find_property
of_fwnode_ops
of_genpd_add_provider_onecell
of_genpd_del_provider
of_get_child_by_name
of_get_compatible_child
of_get_named_gpio_flags
of_get_next_available_child
of_get_next_child
of_get_property
of_get_regulator_init_data
of_graph_get_remote_node
of_graph_parse_endpoint
of_icc_get
of_icc_xlate_onecell
of_iomap
of_irq_find_parent
of_irq_get
of_irq_get_byname
of_match_device
of_match_node
of_node_name_eq
of_parse_phandle
of_parse_phandle_with_args
of_parse_phandle_with_fixed_args
of_phy_simple_xlate
of_platform_depopulate
of_platform_populate
of_property_count_elems_of_size
of_property_read_string
of_property_read_string_helper
of_property_read_u32_index
of_property_read_variable_u32_array
of_property_read_variable_u8_array
of_reserved_mem_lookup
param_ops_bool
param_ops_int
param_ops_uint
pci_bus_type
pci_match_id
__pci_register_driver
pci_unregister_driver
perf_trace_buf_alloc
perf_trace_run_bpf_submit
phy_exit
phy_init
phy_power_off
phy_power_on
phy_set_mode_ext
pinconf_generic_dt_node_to_map
pinctrl_dev_get_drvdata
pinctrl_pm_select_default_state
pinctrl_pm_select_sleep_state
pinctrl_utils_free_map
platform_bus_type
platform_device_add
platform_device_add_properties
platform_device_add_resources
platform_device_alloc
platform_device_put
platform_device_register_full
platform_device_unregister
__platform_driver_register
platform_driver_unregister
platform_get_irq
platform_get_irq_byname
platform_get_irq_optional
platform_get_resource
platform_get_resource_byname
pm_genpd_add_subdomain
pm_genpd_init
pm_runtime_allow
__pm_runtime_disable
pm_runtime_enable
pm_runtime_forbid
pm_runtime_force_resume
pm_runtime_force_suspend
__pm_runtime_idle
__pm_runtime_resume
pm_runtime_set_autosuspend_delay
__pm_runtime_set_status
__pm_runtime_suspend
__pm_runtime_use_autosuspend
preempt_schedule_notrace
prepare_to_wait_event
printk
pskb_expand_head
put_device
__put_task_struct
qcom_smem_state_register
qcom_smem_state_unregister
queue_delayed_work_on
queue_work_on
radix_tree_delete
radix_tree_insert
radix_tree_lookup
radix_tree_next_chunk
___ratelimit
rational_best_approximation
_raw_spin_lock
_raw_spin_lock_bh
_raw_spin_lock_irq
_raw_spin_lock_irqsave
_raw_spin_trylock
_raw_spin_unlock
_raw_spin_unlock_bh
_raw_spin_unlock_irq
_raw_spin_unlock_irqrestore
__rcu_read_lock
__rcu_read_unlock
rdev_get_drvdata
refcount_warn_saturate
regcache_cache_only
regcache_mark_dirty
regcache_sync
register_reboot_notifier
__register_rpmsg_driver
regmap_bulk_read
regmap_bulk_write
__regmap_init
regmap_irq_get_virq
regmap_multi_reg_write
regmap_read
regmap_register_patch
regmap_update_bits_base
regmap_write
regulator_bulk_disable
regulator_bulk_enable
regulator_bulk_get
regulator_disable
regulator_enable
regulator_set_load
regulator_set_voltage
release_firmware
remap_pfn_range
request_firmware
request_firmware_direct
request_firmware_into_buf
request_threaded_irq
reset_control_assert
reset_control_deassert
reset_control_reset
rpmsg_register_device
rpmsg_send
rpmsg_unregister_device
rproc_add
rproc_add_subdev
rproc_alloc
rproc_coredump_set_elf_info
rproc_del
rproc_free
rproc_remove_subdev
schedule
schedule_timeout
schedule_timeout_uninterruptible
scnprintf
seq_lseek
seq_printf
seq_putc
seq_puts
seq_read
sg_alloc_table
sg_free_table
sg_init_table
sg_next
__sg_page_iter_start
simple_read_from_buffer
single_open
single_release
skb_clone
skb_dequeue
skb_pull
skb_push
skb_put
skb_queue_purge
skb_queue_tail
skb_trim
snd_pcm_format_width
snd_soc_component_init_regmap
snd_soc_component_read
snd_soc_component_update_bits
snd_soc_component_write
snd_soc_dapm_add_routes
snd_soc_dapm_get_enum_double
snd_soc_dapm_get_volsw
snd_soc_dapm_kcontrol_dapm
snd_soc_dapm_kcontrol_widget
snd_soc_dapm_mixer_update_power
snd_soc_dapm_mux_update_power
snd_soc_dapm_put_enum_double
snd_soc_dapm_put_volsw
snd_soc_get_enum_double
snd_soc_get_volsw
snd_soc_info_enum_double
snd_soc_info_volsw
snd_soc_jack_report
snd_soc_put_enum_double
snd_soc_put_volsw
snprintf
sock_create_kern
sock_release
sort
__spi_alloc_controller
spi_controller_resume
spi_controller_suspend
spi_finalize_current_transfer
spi_register_controller
spi_unregister_controller
sprintf
sscanf
__stack_chk_fail
__stack_chk_guard
strcmp
strcpy
strlcpy
strlen
strncmp
strncpy
strpbrk
strsep
__sw_hweight32
__sw_hweight64
synchronize_irq
synchronize_net
syscon_node_to_regmap
syscon_regmap_lookup_by_phandle
sysfs_create_link
sysfs_remove_link
sysrq_mask
system_wq
__tasklet_schedule
thermal_cooling_device_unregister
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_ignore_this_pid
trace_event_raw_init
trace_event_reg
trace_handle_return
trace_raw_output_prep
trace_seq_printf
tty_flip_buffer_push
__tty_insert_flip_char
uart_add_one_port
uart_get_baud_rate
uart_register_driver
uart_remove_one_port
uart_resume_port
uart_suspend_port
uart_try_toggle_sysrq
uart_unregister_driver
uart_update_timeout
uart_write_wakeup
__udelay
unregister_chrdev_region
unregister_reboot_notifier
unregister_rpmsg_driver
usb_add_hcd
usb_amd_dev_put
usb_amd_quirk_pll_check
usb_amd_quirk_pll_disable
usb_amd_quirk_pll_enable
usb_debug_root
usb_deregister
usb_disabled
usb_get_dr_mode
usb_get_maximum_speed
usb_hcd_check_unlink_urb
usb_hcd_giveback_urb
usb_hc_died
usb_hcd_is_primary_hcd
usb_hcd_link_urb_to_ep
usb_hcd_pci_pm_ops
usb_hcd_pci_probe
usb_hcd_pci_remove
usb_hcd_pci_shutdown
usb_hcd_platform_shutdown
usb_hcd_poll_rh_status
usb_hcd_resume_root_hub
usb_hcd_unlink_urb_from_ep
usbnet_disconnect
usbnet_get_endpoints
usbnet_get_msglevel
usbnet_get_stats64
usbnet_link_change
usbnet_nway_reset
usbnet_open
usbnet_probe
usbnet_read_cmd
usbnet_read_cmd_nopm
usbnet_resume
usbnet_set_msglevel
usbnet_skb_return
usbnet_start_xmit
usbnet_stop
usbnet_suspend
usbnet_tx_timeout
usbnet_update_max_qlen
usbnet_write_cmd
usbnet_write_cmd_async
usbnet_write_cmd_nopm
usb_put_hcd
usb_register_driver
usb_remove_hcd
usb_root_hub_lost_power
usleep_range
vabits_actual
vfree
vmalloc
vmap
vsnprintf
vunmap
wait_for_completion_timeout
__wake_up
__warn_printk
watchdog_init_timeout
# required by apr.ko
rpmsg_trysend
# required by arm_smmu.ko
amba_bustype
bus_set_iommu
device_link_add
device_match_fwnode
driver_find_device
generic_device_group
generic_iommu_put_resv_regions
iommu_alloc_resv_region
iommu_device_register
iommu_device_sysfs_add
iommu_device_sysfs_remove
iommu_device_unregister
iommu_dma_get_resv_regions
iommu_fwspec_add_ids
iommu_fwspec_free
iommu_get_dma_cookie
iommu_group_ref_get
iommu_put_dma_cookie
of_dma_is_coherent
pci_device_group
# required by asix.ko
eth_mac_addr
genphy_resume
mdiobus_alloc_size
mdiobus_free
__mdiobus_register
mdiobus_unregister
mii_check_media
mii_link_ok
phy_connect
phy_disconnect
phy_do_ioctl_running
phy_ethtool_get_link_ksettings
phy_ethtool_nway_reset
phy_ethtool_set_link_ksettings
phy_print_status
phy_start
phy_stop
skb_copy_expand
usbnet_change_mtu
usbnet_get_drvinfo
usbnet_get_link
usbnet_get_link_ksettings
usbnet_set_link_ksettings
usbnet_unlink_rx_urbs
# required by ath.ko
freq_reg_info
reg_initiator_name
wiphy_apply_custom_regulatory
# required by ath10k_core.ko
bcmp
cfg80211_calculate_bitrate
cfg80211_find_elem_match
cfg80211_find_vendor_elem
cfg80211_get_bss
cfg80211_put_bss
cpu_latency_qos_add_request
cpu_latency_qos_remove_request
device_get_mac_address
device_set_wakeup_enable
firmware_request_nowarn
guid_gen
idr_for_each
ieee80211_alloc_hw_nm
ieee80211_beacon_cntdwn_is_complete
ieee80211_beacon_get_template
ieee80211_beacon_get_tim
ieee80211_beacon_loss
ieee80211_beacon_update_cntdwn
ieee80211_bss_get_elem
ieee80211_channel_to_freq_khz
ieee80211_connection_loss
ieee80211_csa_finish
ieee80211_find_sta
ieee80211_find_sta_by_ifaddr
ieee80211_free_hw
ieee80211_free_txskb
ieee80211_hdrlen
ieee80211_iterate_active_interfaces_atomic
ieee80211_iterate_stations_atomic
ieee80211_iter_chan_contexts_atomic
ieee80211_manage_rx_ba_offl
ieee80211_next_txq
ieee80211_proberesp_get
ieee80211_queue_delayed_work
ieee80211_queue_work
ieee80211_radar_detected
ieee80211_ready_on_channel
ieee80211_register_hw
ieee80211_remain_on_channel_expired
ieee80211_report_low_ack
ieee80211_restart_hw
ieee80211_rx_napi
ieee80211_scan_completed
__ieee80211_schedule_txq
ieee80211_sta_register_airtime
ieee80211_stop_queue
ieee80211_stop_queues
ieee80211_tdls_oper_request
ieee80211_tx_dequeue
ieee80211_txq_get_depth
ieee80211_txq_may_transmit
ieee80211_txq_schedule_start
ieee80211_tx_rate_update
ieee80211_tx_status
ieee80211_tx_status_irqsafe
ieee80211_unregister_hw
ieee80211_wake_queue
ieee80211_wake_queues
init_dummy_netdev
init_uts_ns
__kfifo_alloc
__kfifo_free
__local_bh_enable_ip
param_ops_ulong
regulatory_hint
skb_copy
skb_dequeue_tail
skb_queue_head
skb_realloc_headroom
strlcat
strscpy
__sw_hweight16
__sw_hweight8
thermal_cooling_device_register
vzalloc
wiphy_read_of_freq_limits
wiphy_rfkill_set_hw_state
wiphy_to_ieee80211_hw
# required by ath10k_pci.ko
pci_clear_master
pci_disable_device
pci_disable_msi
pcie_capability_read_word
pcie_capability_write_word
pci_enable_device
pci_enable_msi
pci_iomap
pci_read_config_dword
pci_release_region
pci_request_region
pci_set_master
pci_write_config_dword
# required by ath10k_snoc.ko
__bitmap_clear
devm_clk_bulk_get_optional
iommu_map
# required by ax88179_178a.ko
ethtool_op_get_link
ethtool_op_get_ts_info
mii_ethtool_get_link_ksettings
mii_ethtool_set_link_ksettings
netif_carrier_on
# required by bam_dma.ko
dma_async_device_register
dma_async_device_unregister
dma_async_tx_descriptor_init
dma_get_slave_channel
of_dma_controller_free
of_dma_controller_register
pm_runtime_irq_safe
tasklet_kill
tasklet_setup
vchan_dma_desc_free_list
vchan_find_desc
vchan_init
vchan_tx_desc_free
vchan_tx_submit
# required by clk-qcom.ko
__clk_determine_rate
clk_fixed_factor_ops
clk_hw_get_flags
clk_hw_get_name
clk_hw_get_num_parents
clk_hw_get_parent_by_index
clk_hw_is_enabled
clk_hw_round_rate
__clk_is_enabled
__clk_mux_determine_rate_closest
divider_ro_round_rate_parent
of_find_node_opts_by_path
of_prop_next_u32
pm_genpd_remove_subdomain
# required by clk-rpmh.ko
clk_hw_is_prepared
# required by clk-spmi-pmic-div.ko
__ndelay
of_clk_get_parent_name
# required by cqhci.ko
dmam_free_coherent
mmc_cqe_request_done
# required by dwc3-qcom.ko
devm_extcon_register_notifier
of_clk_get_parent_count
# required by dwc3.ko
dev_fwnode
device_property_read_string
device_property_read_u8_array
devm_usb_get_phy
extcon_find_edev_by_node
extcon_get_extcon_dev
of_usb_get_phy_mode
phy_pm_runtime_get_sync
phy_pm_runtime_put_sync
platform_get_irq_byname_optional
system_freezable_wq
usb_add_gadget
usb_decode_ctrl
usb_del_gadget_udc
usb_ep_set_maxpacket_limit
usb_gadget_giveback_request
usb_gadget_map_request_by_dev
usb_gadget_set_state
usb_gadget_udc_reset
usb_gadget_unmap_request_by_dev
usb_initialize_gadget
usb_role_switch_get_drvdata
usb_role_switch_register
usb_role_switch_unregister
# required by extcon-usb-gpio.ko
devm_extcon_dev_allocate
devm_extcon_dev_register
extcon_set_state_sync
gpiod_get_value_cansleep
gpiod_set_debounce
gpiod_to_irq
system_power_efficient_wq
# required by fastrpc.ko
dma_buf_attach
dma_buf_detach
dma_buf_fd
dma_buf_get
dma_buf_map_attachment
dma_buf_put
dma_buf_unmap_attachment
dma_get_sgtable_attrs
find_vma
wait_for_completion_interruptible
# required by gpio-regulator.ko
devm_gpiod_get_index
devm_kmemdup
devm_kstrdup
gpiod_count
gpiod_get_optional
# required by gpio-wcd934x.ko
devm_gpiochip_add_data_with_key
# required by i2c-designware-core.ko
i2c_generic_scl_recovery
i2c_recover_bus
# required by i2c-designware-platform.ko
pm_suspend_global_flags
# required by i2c-dev.ko
bus_register_notifier
bus_unregister_notifier
__class_create
class_destroy
i2c_bus_type
i2c_for_each_dev
i2c_get_adapter
i2c_put_adapter
i2c_transfer_buffer_flags
i2c_verify_client
memdup_user
register_chrdev_region
# required by i2c-mux-pca954x.ko
device_create_file
device_remove_file
handle_simple_irq
i2c_get_device_id
i2c_smbus_read_byte
i2c_smbus_write_byte
irq_create_mapping_affinity
irq_dispose_mapping
irq_domain_simple_ops
# required by i2c-mux.ko
__i2c_transfer
rt_mutex_lock
rt_mutex_trylock
rt_mutex_unlock
# required by i2c-qcom-geni.ko
i2c_get_dma_safe_msg_buf
i2c_put_dma_safe_msg_buf
of_machine_is_compatible
# required by i2c-qup.ko
__usecs_to_jiffies
# required by i2c-rk3x.ko
clk_notifier_register
clk_notifier_unregister
# required by icc-bcm-voter.ko
list_sort
of_property_match_string
# required by icc-osm-l3.ko
icc_std_aggregate
# required by llcc-qcom.ko
devm_platform_ioremap_resource_byname
# required by lontium-lt9611.ko
drm_bridge_add
drm_bridge_remove
drm_do_get_edid
drm_kms_helper_hotplug_event
mipi_dsi_attach
mipi_dsi_detach
mipi_dsi_device_register_full
mipi_dsi_device_unregister
of_find_mipi_dsi_host_by_node
# required by msm.ko
__bitmap_andnot
__bitmap_weight
bpf_trace_run6
bpf_trace_run8
clk_get_parent
__clk_hw_register_divider
clk_hw_register_fixed_factor
__clk_hw_register_mux
clk_hw_unregister_divider
clk_hw_unregister_fixed_factor
clk_hw_unregister_mux
clk_set_parent
clk_unregister
component_add
component_bind_all
component_del
component_master_add_with_match
component_master_del
component_unbind_all
_ctype
debugfs_create_bool
debugfs_create_u64
dev_coredumpm
devfreq_recommended_opp
devfreq_resume_device
devfreq_suspend_device
devm_clk_register
devm_devfreq_add_device
devm_regulator_get_exclusive
dev_pm_opp_find_freq_floor
dev_pm_opp_get_freq
dev_pm_opp_get_voltage
dev_pm_opp_set_supported_hw
dma_fence_context_alloc
dma_fence_init
dma_fence_match_context
dma_fence_release
dma_fence_signal
dma_fence_wait_timeout
dma_resv_add_excl_fence
dma_resv_add_shared_fence
dma_resv_reserve_shared
dma_resv_wait_timeout_rcu
drm_add_modes_noedid
drm_atomic_get_private_obj_state
drm_atomic_helper_check
drm_atomic_helper_check_plane_state
drm_atomic_helper_cleanup_planes
drm_atomic_helper_commit
drm_atomic_helper_commit_hw_done
drm_atomic_helper_commit_modeset_disables
drm_atomic_helper_commit_modeset_enables
drm_atomic_helper_commit_planes
__drm_atomic_helper_crtc_destroy_state
drm_atomic_helper_crtc_destroy_state
__drm_atomic_helper_crtc_duplicate_state
drm_atomic_helper_crtc_duplicate_state
__drm_atomic_helper_crtc_reset
drm_atomic_helper_crtc_reset
drm_atomic_helper_dirtyfb
drm_atomic_helper_disable_plane
drm_atomic_helper_page_flip
__drm_atomic_helper_plane_destroy_state
drm_atomic_helper_plane_destroy_state
__drm_atomic_helper_plane_duplicate_state
drm_atomic_helper_plane_duplicate_state
drm_atomic_helper_plane_reset
__drm_atomic_helper_private_obj_duplicate_state
drm_atomic_helper_set_config
drm_atomic_helper_shutdown
drm_atomic_helper_update_plane
drm_atomic_private_obj_fini
drm_atomic_private_obj_init
drm_bridge_attach
drm_compat_ioctl
drm_connector_has_possible_encoder
drm_connector_init_with_ddc
drm_connector_list_iter_begin
drm_connector_list_iter_end
drm_connector_list_iter_next
drm_crtc_cleanup
drm_crtc_enable_color_mgmt
drm_crtc_handle_vblank
drm_crtc_init_with_planes
drm_crtc_send_vblank_event
drm_crtc_set_max_vblank_count
drm_crtc_vblank_get
drm_crtc_vblank_helper_get_vblank_timestamp
drm_crtc_vblank_off
drm_crtc_vblank_on
drm_crtc_vblank_put
__drm_dbg
__drm_debug
drm_debugfs_create_files
drm_detect_hdmi_monitor
drm_detect_monitor_audio
drm_dev_alloc
drm_dev_dbg
drm_dev_printk
drm_dev_put
drm_dev_register
drm_dev_unregister
drm_dp_aux_register
drm_dp_aux_unregister
drm_dp_bw_code_to_link_rate
drm_dp_channel_eq_ok
drm_dp_clock_recovery_ok
drm_dp_dpcd_read
drm_dp_dpcd_read_link_status
drm_dp_dpcd_write
drm_dp_get_adjust_request_pre_emphasis
drm_dp_get_adjust_request_voltage
drm_dp_get_edid_quirks
drm_dp_link_rate_to_bw_code
drm_dp_link_train_channel_eq_delay
drm_dp_link_train_clock_recovery_delay
drm_edid_block_valid
drm_encoder_cleanup
drm_encoder_init
drm_flip_work_cleanup
drm_flip_work_commit
drm_flip_work_init
drm_flip_work_queue
drm_format_info
drm_framebuffer_init
drm_gem_create_mmap_offset
drm_gem_fb_create_handle
drm_gem_fb_destroy
drm_gem_fb_get_obj
drm_gem_fb_prepare_fb
drm_gem_free_mmap_offset
drm_gem_get_pages
drm_gem_handle_create
drm_gem_mmap
drm_gem_mmap_obj
drm_gem_object_free
drm_gem_object_init
drm_gem_object_lookup
drm_gem_object_put_locked
drm_gem_object_release
drm_gem_prime_fd_to_handle
drm_gem_prime_handle_to_fd
drm_gem_private_object_init
drm_gem_put_pages
drm_gem_vm_close
drm_gem_vm_open
drm_get_edid
drm_get_format_info
drm_get_format_name
drm_handle_vblank
drm_helper_hpd_irq_event
drm_helper_mode_fill_fb_struct
drm_ioctl
drm_irq_install
drm_irq_uninstall
drm_kms_helper_poll_fini
drm_kms_helper_poll_init
drm_mm_init
drm_mm_insert_node_in_range
drmm_mode_config_init
drm_mm_print
drm_mm_remove_node
drm_mm_takedown
drm_mode_config_cleanup
drm_mode_config_helper_resume
drm_mode_config_helper_suspend
drm_mode_config_reset
drm_mode_copy
drm_mode_debug_printmodeline
drm_mode_destroy
drm_mode_duplicate
drm_mode_object_find
drm_mode_object_put
drm_mode_probed_add
drm_modeset_lock
drm_modeset_lock_all
drm_modeset_lock_init
drm_modeset_unlock
drm_modeset_unlock_all
drm_object_attach_property
drm_of_component_match_add
drm_open
drm_panel_disable
drm_panel_enable
drm_panel_get_modes
drm_panel_prepare
drm_panel_unprepare
drm_plane_cleanup
drm_plane_create_rotation_property
drm_plane_create_zpos_property
drm_plane_enable_fb_damage_clips
drm_poll
drm_prime_gem_destroy
drm_prime_pages_to_sg
drm_prime_sg_to_page_addr_arrays
drm_printf
__drm_printfn_coredump
__drm_printfn_info
__drm_printfn_seq_file
drm_property_create_range
drm_puts
__drm_puts_coredump
__drm_puts_seq_file
drm_read
drm_rect_calc_hscale
drm_rect_calc_vscale
drm_rect_intersect
drm_release
drm_rotation_simplify
drm_set_preferred_mode
drm_state_dump
drm_syncobj_add_point
drm_syncobj_find
drm_syncobj_find_fence
drm_syncobj_free
drm_syncobj_replace_fence
drm_universal_plane_init
drm_vblank_init
fd_install
fput
generic_file_llseek
get_pid_task
get_unused_fd_flags
gpiod_direction_input
gpiod_get_value
gpiod_set_value
hdmi_audio_infoframe_pack
hdmi_infoframe_pack
hrtimer_init
hrtimer_start_range_ns
invalidate_mapping_pages
iommu_map_sg
iommu_set_fault_handler
irq_domain_xlate_onecell
kstrdup_quotable_cmdline
kstrtouint_from_user
kthread_create_on_node
kthread_create_worker
kthread_destroy_worker
kthread_queue_work
kvfree
kvmalloc_node
llist_add_batch
memdup_user_nul
memparse
memunmap
mipi_dsi_create_packet
mipi_dsi_host_register
mipi_dsi_host_unregister
mipi_dsi_packet_format_is_long
mutex_lock_interruptible
mutex_trylock_recursive
nsecs_to_jiffies
nvmem_cell_get
nvmem_cell_put
of_clk_hw_onecell_get
of_device_is_available
of_drm_find_bridge
of_drm_find_panel
of_find_matching_node_and_match
of_graph_get_endpoint_by_regs
of_graph_get_next_endpoint
of_graph_get_remote_port_parent
param_ops_charp
phy_calibrate
phy_configure
pid_task
pm_runtime_get_if_active
put_pid
put_unused_fd
_raw_read_lock
_raw_read_unlock
_raw_write_lock
_raw_write_unlock
register_shrinker
register_vmap_purge_notifier
regulator_get
regulator_put
reservation_ww_class
round_jiffies_up
sched_set_fifo
schedule_timeout_interruptible
__sg_page_iter_dma_next
shmem_truncate_range
simple_attr_open
simple_attr_read
simple_attr_release
simple_attr_write
simple_open
strstr
sync_file_create
sync_file_get_fence
unmap_mapping_range
unregister_shrinker
unregister_vmap_purge_notifier
vmf_insert_mixed
vm_get_page_prot
vscnprintf
wake_up_process
ww_mutex_lock_interruptible
ww_mutex_unlock
# required by msm_serial.ko
iomem_resource
__release_region
__request_region
tty_termios_baud_rate
tty_termios_encode_baud_rate
# required by ns.ko
kernel_bind
radix_tree_iter_resume
# required by nvmem_qfprom.ko
devm_nvmem_register
# required by ohci-hcd.ko
flush_work
free_pages
gen_pool_dma_alloc_align
gen_pool_dma_zalloc_align
gen_pool_free_owner
get_zeroed_page
sb800_prefetch
usb_calc_bus_time
usb_hcds_loaded
# required by ohci-pci.ko
pci_dev_put
pci_get_slot
usb_amd_prefetch_quirk
# required by ohci-platform.ko
usb_create_hcd
# required by pdr_interface.ko
strnlen
# required by phy-qcom-qmp.ko
of_clk_get_by_name
__of_reset_control_get
# required by phy-qcom-qusb2.ko
devm_nvmem_cell_get
# required by pinctrl-msm.ko
device_property_read_u16_array
gpiochip_line_is_valid
gpiochip_lock_as_irq
gpiochip_unlock_as_irq
handle_bad_irq
handle_fasteoi_ack_irq
handle_fasteoi_irq
module_put
pinctrl_force_default
pinctrl_force_sleep
pm_power_off
register_restart_handler
try_module_get
unregister_restart_handler
# required by pinctrl-spmi-gpio.ko
gpiochip_populate_parent_fwspec_fourcell
irq_chip_ack_parent
# required by pinctrl-spmi-mpp.ko
platform_irq_count
# required by pm8941-pwrkey.ko
devm_input_allocate_device
input_event
input_register_device
input_set_capability
# required by q6asm-dai.ko
snd_dma_alloc_pages
snd_dma_free_pages
snd_pcm_hw_constraint_integer
snd_pcm_hw_constraint_list
snd_pcm_hw_constraint_minmax
snd_pcm_hw_constraint_step
snd_pcm_period_elapsed
snd_soc_new_compress
snd_soc_set_runtime_hwparams
# required by qcom-apcs-ipc-mailbox.ko
devm_mbox_controller_register
# required by qcom-cpufreq-hw.ko
cpufreq_cpu_get_raw
cpufreq_freq_attr_scaling_available_freqs
cpufreq_freq_attr_scaling_boost_freqs
cpufreq_generic_frequency_table_verify
cpufreq_get_driver_data
cpufreq_register_driver
cpufreq_unregister_driver
__cpu_possible_mask
dev_pm_opp_adjust_voltage
dev_pm_opp_disable
dev_pm_opp_enable
dev_pm_opp_of_cpumask_remove_table
dev_pm_opp_of_register_em
dev_pm_opp_remove_all_dynamic
dev_pm_opp_set_sharing_cpus
get_cpu_device
of_get_cpu_node
# required by qcom-geni-se.ko
console_drivers
icc_set_tag
of_get_next_parent
# required by qcom-pdc.ko
irq_chip_get_parent_state
irq_chip_retrigger_hierarchy
irq_domain_alloc_irqs_parent
irq_domain_create_hierarchy
irq_domain_set_hwirq_and_chip
irq_domain_update_bus_token
# required by qcom-rpmh-regulator.ko
regulator_list_voltage_linear_range
# required by qcom-scm.ko
__arm_smccc_smc
__cpu_present_mask
kimage_voffset
# required by qcom-spmi-pmic.ko
__spmi_driver_register
# required by qcom_aoss.ko
devm_thermal_of_cooling_device_register
pm_genpd_remove
# required by qcom_common.ko
rproc_coredump_add_segment
srcu_init_notifier_head
srcu_notifier_call_chain
srcu_notifier_chain_register
srcu_notifier_chain_unregister
# required by qcom_geni_serial.ko
dev_pm_clear_wake_irq
dev_pm_set_dedicated_wake_irq
oops_in_progress
tty_insert_flip_string_fixed_flag
uart_console_device
uart_console_write
uart_parse_options
uart_set_options
# required by qcom_glink.ko
device_add_groups
# required by qcom_hwspinlock.ko
devm_hwspin_lock_register
devm_regmap_field_alloc
regmap_field_read
regmap_field_update_bits_base
# required by qcom_pil_info.ko
__memset_io
of_find_compatible_node
# required by qcom_q6v5.ko
qcom_smem_state_get
qcom_smem_state_update_bits
rproc_report_crash
# required by qcom_q6v5_mss.ko
rproc_coredump_add_custom_segment
# required by qcom_q6v5_wcss.ko
rproc_elf_get_boot_addr
# required by qcom_rpmh.ko
bitmap_find_next_zero_area_off
__bitmap_set
cpu_pm_register_notifier
# required by qcom_spmi-regulator.ko
jiffies_to_usecs
regulator_disable_regmap
regulator_enable_regmap
regulator_is_enabled_regmap
smp_call_function_single
# required by qcom_sysmon.ko
rproc_get_by_child
try_wait_for_completion
# required by qrtr-smd.ko
__pskb_pull_tail
# required by qrtr-tun.ko
_copy_to_iter
# required by qrtr.ko
__alloc_skb
autoremove_wake_function
datagram_poll
do_wait_intr_irq
idr_alloc_u32
lock_sock_nested
proto_register
proto_unregister
radix_tree_iter_delete
_raw_write_lock_bh
_raw_write_unlock_bh
refcount_dec_and_mutex_lock
release_sock
sk_alloc
skb_copy_bits
skb_copy_datagram_iter
skb_free_datagram
__skb_pad
skb_recv_datagram
skb_set_owner_w
sk_free
sock_alloc_send_skb
sock_gettstamp
sock_init_data
sock_no_accept
sock_no_listen
sock_no_mmap
sock_no_sendpage
sock_no_shutdown
sock_no_socketpair
sock_queue_rcv_skb
sock_register
sock_unregister
synchronize_rcu
# required by reboot-mode.ko
devres_add
devres_alloc_node
devres_free
devres_release
# required by regmap-spmi.ko
spmi_ext_register_read
spmi_ext_register_readl
spmi_ext_register_write
spmi_ext_register_writel
spmi_register_read
spmi_register_write
spmi_register_zero_write
# required by rmtfs_mem.ko
alloc_chrdev_region
__class_register
class_unregister
# required by rtc-pm8xxx.ko
devm_request_any_context_irq
devm_rtc_allocate_device
__rtc_register_device
rtc_time64_to_tm
rtc_tm_to_time64
rtc_update_irq
# required by sdhci-msm.ko
mmc_of_parse
mmc_regulator_get_supply
mmc_regulator_set_ocr
mmc_regulator_set_vqmmc
mmc_send_tuning
regulator_is_supported_voltage
__sdhci_add_host
sdhci_add_host
sdhci_cleanup_host
sdhci_cqe_disable
sdhci_cqe_enable
sdhci_cqe_irq
sdhci_enable_clk
sdhci_get_property
sdhci_pltfm_free
sdhci_pltfm_init
sdhci_remove_host
sdhci_reset
sdhci_set_bus_width
sdhci_set_power_noreg
sdhci_setup_host
# required by smem.ko
hwspin_lock_free
hwspin_lock_request_specific
__hwspin_lock_timeout
__hwspin_unlock
of_hwspin_lock_get_id
# required by snd-soc-hdmi-codec.ko
snd_ctl_add
snd_ctl_new1
snd_pcm_add_chmap_ctls
snd_pcm_create_iec958_consumer_hw_params
snd_pcm_hw_constraint_eld
# required by snd-soc-qcom-common.ko
snd_soc_dai_link_set_capabilities
snd_soc_of_get_dai_link_codecs
snd_soc_of_get_dai_name
snd_soc_of_parse_audio_routing
snd_soc_of_parse_aux_devs
snd_soc_of_parse_card_name
# required by snd-soc-rl6231.ko
gcd
# required by snd-soc-rt5663.ko
regcache_cache_bypass
snd_soc_add_component_controls
snd_soc_dapm_disable_pin
snd_soc_dapm_force_enable_pin
snd_soc_dapm_new_controls
snd_soc_dapm_sync
# required by snd-soc-sdm845.ko
devm_snd_soc_register_card
snd_jack_set_key
snd_soc_card_jack_new
snd_soc_component_set_jack
snd_soc_dai_get_channel_map
snd_soc_dai_set_channel_map
snd_soc_dai_set_fmt
snd_soc_dai_set_sysclk
snd_soc_dai_set_tdm_slot
# required by snd-soc-wcd9335.ko
kmemdup_nul
snd_soc_get_volsw_sx
snd_soc_info_volsw_sx
snd_soc_put_volsw_sx
strnstr
# required by snd-soc-wcd934x.ko
kstrndup
of_clk_add_provider
of_clk_src_simple_get
# required by socinfo.ko
add_device_randomness
soc_device_register
soc_device_unregister
# required by soundwire-bus.ko
device_get_named_child_node
devm_device_add_group
devm_device_add_groups
dev_pm_domain_attach
fwnode_property_present
fwnode_property_read_u32_array
pm_generic_runtime_resume
pm_generic_runtime_suspend
# required by spi-pl022.ko
amba_driver_register
amba_driver_unregister
amba_release_regions
amba_request_regions
devm_gpio_request
__dma_request_channel
loops_per_jiffy
pinctrl_pm_select_idle_state
spi_delay_exec
spi_finalize_current_message
spi_get_next_queued_message
tasklet_init
# required by spmi-pmic-arb.ko
irq_domain_set_info
spmi_controller_add
spmi_controller_alloc
spmi_controller_remove
# required by system_heap.ko
__alloc_pages_nodemask
dma_heap_add
dma_heap_get_dev
dma_sync_sg_for_cpu
dma_sync_sg_for_device
__free_pages
__sg_page_iter_next
# required by ufs_qcom.ko
ufshcd_dme_get_attr
ufshcd_dme_set_attr
ufshcd_dump_regs
ufshcd_get_local_unipro_ver
ufshcd_get_pwr_dev_param
ufshcd_pltfrm_init
ufshcd_pltfrm_resume
ufshcd_pltfrm_runtime_idle
ufshcd_pltfrm_runtime_resume
ufshcd_pltfrm_runtime_suspend
ufshcd_pltfrm_shutdown
ufshcd_pltfrm_suspend
ufshcd_remove
ufshcd_uic_hibern8_enter
ufshcd_uic_hibern8_exit
# required by ulpi.ko
of_device_modalias
of_device_request_module
__request_module
# required by wcd934x.ko
mfd_add_devices
mfd_remove_devices
# required by xhci-hcd.ko
add_timer
kstrtou16_from_user
mod_delayed_work_on
param_ops_ullong
pci_alloc_irq_vectors_affinity
pci_free_irq_vectors
pci_irq_vector
preempt_schedule
radix_tree_maybe_preload
sg_pcopy_from_buffer
sg_pcopy_to_buffer
trace_print_symbols_seq
usb_amd_pt_check_port
usb_asmedia_modifyflowcontrol
usb_disable_xhci_ports
usb_hcd_end_port_resume
usb_hcd_irq
usb_hcd_map_urb_for_dma
usb_hcd_start_port_resume
usb_hub_clear_tt_buffer
usb_wakeup_notification
wait_for_completion
# required by xhci-pci.ko
pci_d3cold_disable
pci_read_config_byte
pci_set_mwi
pci_set_power_state
usb_create_shared_hcd
usb_enable_intel_xhci_ports
# required by xhci-plat-hcd.ko
devm_platform_get_and_ioremap_resource
of_usb_host_tpl_support
__usb_create_hcd
[abi_symbol_list]
access_process_vm
activate_task
add_cpu
add_device_randomness
add_memory
add_taint
add_timer
add_timer_on
add_uevent_var
add_wait_queue
adjust_managed_page_count
alloc_chrdev_region
__alloc_disk_node
alloc_io_pgtable_ops
alloc_etherdev_mqs
alloc_netdev_mqs
__alloc_pages_nodemask
__alloc_percpu
__alloc_skb
alloc_skb_with_frags
alloc_workqueue
amba_bustype
amba_driver_register
amba_driver_unregister
anon_inode_getfile
__arch_clear_user
__arch_copy_from_user
__arch_copy_in_user
__arch_copy_to_user
arch_timer_read_counter
argv_free
argv_split
arm64_const_caps_ready
arm64_use_ng_mappings
__arm_smccc_smc
atomic_notifier_call_chain
atomic_notifier_chain_register
atomic_notifier_chain_unregister
autoremove_wake_function
available_idle_cpu
backlight_device_get_by_type
backlight_device_register
backlight_device_set_brightness
backlight_device_unregister
bcmp
bitmap_allocate_region
__bitmap_clear
bitmap_find_next_zero_area_off
bitmap_print_to_pagebuf
bitmap_release_region
__bitmap_set
blk_execute_rq_nowait
blk_get_request
blk_mq_rq_cpu
blk_put_request
blk_rq_map_user
blk_rq_map_user_iov
blk_rq_unmap_user
blk_verify_command
blocking_notifier_call_chain
blocking_notifier_chain_register
blocking_notifier_chain_unregister
bpf_trace_run1
bpf_trace_run10
bpf_trace_run11
bpf_trace_run12
bpf_trace_run2
bpf_trace_run3
bpf_trace_run4
bpf_trace_run5
bpf_trace_run6
bpf_trace_run7
bpf_trace_run8
bpf_trace_run9
bus_find_device
bus_for_each_dev
bus_register
bus_set_iommu
bus_unregister
call_rcu
call_rcu_tasks
call_rcu_tasks_trace
call_srcu
cancel_delayed_work
cancel_delayed_work_sync
cancel_work_sync
capable
cdev_add
cdev_alloc
cdev_del
cdev_device_add
cdev_init
__cfg80211_alloc_event_skb
__cfg80211_alloc_reply_skb
cfg80211_calculate_bitrate
cfg80211_chandef_create
cfg80211_ch_switch_notify
cfg80211_connect_done
cfg80211_del_sta_sinfo
cfg80211_disconnected
cfg80211_external_auth_request
cfg80211_ft_event
cfg80211_get_bss
cfg80211_gtk_rekey_notify
cfg80211_inform_bss_frame_data
cfg80211_mgmt_tx_status
cfg80211_michael_mic_failure
cfg80211_new_sta
cfg80211_pmksa_candidate_notify
cfg80211_put_bss
cfg80211_ready_on_channel
cfg80211_remain_on_channel_expired
cfg80211_roamed
cfg80211_rx_mgmt_khz
cfg80211_rx_unprot_mlme_mgmt
cfg80211_scan_done
cfg80211_sched_scan_results
__cfg80211_send_event_skb
cfg80211_stop_iface
cfg80211_tdls_oper_request
cfg80211_unlink_bss
cfg80211_update_owe_info_event
cfg80211_vendor_cmd_reply
cgroup_taskset_first
cgroup_taskset_next
__check_object_size
check_preempt_curr
check_zeroed_user
__class_create
class_destroy
class_find_device
class_for_each_device
class_interface_unregister
__class_register
class_unregister
cleanup_srcu_struct
clk_bulk_disable
clk_bulk_enable
clk_bulk_get_all
clk_bulk_prepare
clk_bulk_put_all
clk_bulk_unprepare
__clk_determine_rate
clk_disable
clk_enable
clk_fixed_factor_ops
clk_fixed_rate_ops
clk_get
__clk_get_hw
__clk_get_name
clk_get_parent
clk_get_rate
clk_hw_get_flags
clk_hw_get_name
clk_hw_get_num_parents
clk_hw_get_parent
clk_hw_get_parent_by_index
clk_hw_get_rate
clk_hw_is_enabled
clk_hw_is_prepared
clk_hw_register
clk_hw_round_rate
clk_hw_unregister
__clk_is_enabled
__clk_mux_determine_rate_closest
clk_notifier_register
clk_notifier_unregister
clk_prepare
clk_put
clk_register
clk_round_rate
clk_set_parent
clk_set_rate
clk_sync_state
clk_unprepare
cma_alloc
cma_get_name
cma_release
compat_alloc_user_space
compat_ptr_ioctl
complete
complete_all
complete_and_exit
completion_done
component_add
component_bind_all
component_del
component_master_add_with_match
component_master_del
component_match_add_release
component_unbind_all
cond_synchronize_rcu
config_ep_by_speed
configfs_register_subsystem
configfs_unregister_subsystem
config_group_init
config_group_init_type_name
config_item_get
config_item_put
console_stop
console_suspend_enabled
__const_udelay
consume_skb
contig_page_data
_copy_from_iter_full
__cpu_active_mask
cpu_bit_bitmap
cpufreq_cpu_get
cpufreq_cpu_get_raw
cpufreq_cpu_put
cpufreq_disable_fast_switch
cpufreq_driver_fast_switch
cpufreq_driver_resolve_freq
__cpufreq_driver_target
cpufreq_enable_fast_switch
cpufreq_freq_attr_scaling_available_freqs
cpufreq_freq_attr_scaling_boost_freqs
cpufreq_generic_frequency_table_verify
cpufreq_get_policy
cpufreq_quick_get_max
cpufreq_register_driver
cpufreq_register_governor
cpufreq_register_notifier
cpufreq_unregister_driver
cpufreq_unregister_notifier
__cpuhp_remove_state
__cpuhp_setup_state
__cpuhp_setup_state_cpuslocked
cpu_hwcap_keys
cpu_hwcaps
cpu_irqtime
cpu_is_hotpluggable
cpu_latency_qos_add_request
cpu_latency_qos_remove_request
cpu_latency_qos_request_active
cpu_latency_qos_update_request
cpumask_next
cpumask_next_and
cpu_number
__cpu_online_mask
cpu_pm_register_notifier
cpu_pm_unregister_notifier
__cpu_possible_mask
__cpu_present_mask
cpu_scale
cpus_read_lock
cpus_read_unlock
cpu_subsys
cpu_topology
crc8
crc8_populate_msb
crypto_aead_encrypt
crypto_aead_setauthsize
crypto_aead_setkey
crypto_alloc_aead
crypto_alloc_base
crypto_alloc_shash
crypto_alloc_skcipher
crypto_cipher_encrypt_one
crypto_cipher_setkey
crypto_destroy_tfm
crypto_register_rngs
crypto_shash_final
crypto_shash_setkey
crypto_shash_update
crypto_skcipher_decrypt
crypto_skcipher_encrypt
crypto_skcipher_setkey
crypto_unregister_rngs
css_next_child
csum_tcpudp_nofold
_ctype
datagram_poll
deactivate_task
debugfs_attr_read
debugfs_attr_write
debugfs_create_atomic_t
debugfs_create_bool
debugfs_create_dir
debugfs_create_file
debugfs_create_file_unsafe
debugfs_create_regset32
debugfs_create_u16
debugfs_create_u32
debugfs_create_u64
debugfs_create_u8
debugfs_create_ulong
debugfs_create_x32
debugfs_create_x8
debugfs_file_get
debugfs_file_put
debugfs_lookup
debugfs_print_regs32
debugfs_remove
default_llseek
delayed_work_timer_fn
del_timer
del_timer_sync
desc_to_gpio
destroy_workqueue
dev_alloc_name
dev_coredumpv
dev_driver_string
_dev_emerg
_dev_err
dev_fwnode
dev_get_by_name
dev_get_regmap
device_add
device_add_groups
device_create
device_create_file
device_create_with_groups
device_del
device_destroy
device_find_child
device_for_each_child
device_get_match_data
device_get_next_child_node
device_initialize
device_init_wakeup
device_link_add
device_link_del
device_match_fwnode
device_match_name
device_property_present
device_property_read_string
device_property_read_u16_array
device_property_read_u32_array
device_property_read_u8_array
device_register
device_remove_file
device_set_wakeup_capable
device_unregister
device_wakeup_disable
device_wakeup_enable
_dev_info
__dev_kfree_skb_any
devm_add_action
devm_clk_bulk_get
devm_clk_bulk_get_all
devm_clk_get
devm_clk_get_optional
devm_clk_hw_register
devm_clk_put
devm_clk_register
devm_device_add_group
devm_device_remove_group
devm_extcon_dev_allocate
devm_extcon_dev_register
devm_extcon_register_notifier
devm_free_irq
devm_gpiod_get_optional
devm_gpio_request
devm_gpio_request_one
devm_hwspin_lock_register
devm_iio_channel_get
devm_iio_device_alloc
__devm_iio_device_register
devm_input_allocate_device
devm_ioremap
devm_ioremap_resource
devm_ioremap_wc
devm_iounmap
devm_kasprintf
devm_kfree
devm_kmalloc
devm_kmemdup
devm_kstrdup
devm_led_classdev_register_ext
devm_mbox_controller_register
devm_nvmem_cell_get
devm_nvmem_device_get
devm_nvmem_register
devm_of_clk_add_hw_provider
__devm_of_phy_provider_register
devm_of_platform_populate
devm_of_pwm_get
devm_pci_alloc_host_bridge
devm_phy_create
devm_phy_get
devm_pinctrl_get
devm_pinctrl_put
devm_pinctrl_register
devm_platform_get_and_ioremap_resource
devm_platform_ioremap_resource
devm_platform_ioremap_resource_byname
devm_power_supply_register
devm_pwm_put
devm_regmap_add_irq_chip
devm_regmap_del_irq_chip
devm_regmap_field_alloc
__devm_regmap_init
__devm_regmap_init_i2c
__devm_regmap_init_mmio_clk
devm_regulator_bulk_get
devm_regulator_get
devm_regulator_get_optional
devm_regulator_put
devm_regulator_register
devm_regulator_register_notifier
devm_request_any_context_irq
devm_request_threaded_irq
devm_reset_control_array_get
__devm_reset_control_get
devm_reset_controller_register
devm_rtc_allocate_device
devm_snd_soc_register_card
devm_thermal_of_cooling_device_register
devm_thermal_zone_of_sensor_register
devm_usb_get_phy
devm_usb_get_phy_by_phandle
_dev_notice
dev_pm_domain_attach
dev_pm_domain_attach_by_name
dev_pm_domain_detach
dev_pm_genpd_add_notifier
dev_pm_genpd_set_performance_state
dev_pm_opp_add
dev_pm_opp_find_freq_ceil
dev_pm_opp_find_freq_floor
dev_pm_opp_get_level
dev_pm_opp_get_opp_count
dev_pm_opp_get_voltage
dev_pm_opp_of_add_table
dev_pm_opp_of_find_icc_paths
dev_pm_opp_of_register_em
dev_pm_opp_of_remove_table
dev_pm_opp_put
dev_pm_opp_put_clkname
dev_pm_opp_remove_all_dynamic
dev_pm_opp_set_clkname
dev_pm_opp_set_rate
dev_pm_opp_set_sharing_cpus
dev_pm_qos_add_notifier
dev_pm_qos_add_request
dev_pm_qos_remove_notifier
dev_pm_qos_remove_request
dev_pm_qos_update_request
dev_printk
devres_add
devres_alloc_node
devres_free
devres_release
dev_set_name
_dev_warn
disable_irq
disable_irq_nosync
disable_percpu_irq
divider_get_val
divider_recalc_rate
divider_ro_round_rate_parent
divider_round_rate_parent
dma_alloc_attrs
dma_async_device_register
dma_async_device_unregister
dma_async_tx_descriptor_init
dma_buf_attach
dma_buf_begin_cpu_access
dma_buf_begin_cpu_access_partial
dma_buf_detach
dma_buf_end_cpu_access
dma_buf_end_cpu_access_partial
dma_buf_export
dma_buf_fd
dma_buf_get
dma_buf_get_flags
dma_buf_map_attachment
dma_buf_put
dma_buf_unmap_attachment
dma_buf_vmap
dma_buf_vunmap
dma_fence_add_callback
dma_fence_array_create
dma_fence_array_ops
dma_fence_context_alloc
dma_fence_default_wait
dma_fence_enable_sw_signaling
dma_fence_get_status
dma_fence_init
dma_fence_release
dma_fence_remove_callback
dma_fence_signal
dma_fence_signal_locked
dma_fence_wait_timeout
dma_free_attrs
dma_get_sgtable_attrs
dma_get_slave_channel
dma_heap_add
dma_heap_buffer_alloc
dma_heap_buffer_free
dma_heap_find
dma_heap_get_dev
dma_heap_get_drvdata
dmam_alloc_attrs
dma_mmap_attrs
dma_map_page_attrs
dma_map_resource
dma_map_sg_attrs
dmam_free_coherent
dma_pool_alloc
dma_pool_create
dma_pool_destroy
dma_pool_free
dma_release_channel
dma_request_chan
dma_resv_fini
dma_resv_init
dma_resv_wait_timeout_rcu
dma_set_coherent_mask
dma_set_mask
dma_sync_sg_for_cpu
dma_sync_sg_for_device
dma_sync_single_for_cpu
dma_sync_single_for_device
dma_unmap_page_attrs
dma_unmap_resource
dma_unmap_sg_attrs
do_exit
do_trace_rcu_torture_read
do_wait_intr
down
down_read
down_write
d_path
dput
drain_workqueue
driver_find_device
driver_register
driver_unregister
drm_add_edid_modes
drm_add_modes_noedid
drm_atomic_commit
drm_atomic_get_connector_state
drm_atomic_get_crtc_state
drm_atomic_get_plane_state
drm_atomic_get_private_obj_state
drm_atomic_helper_check
drm_atomic_helper_cleanup_planes
drm_atomic_helper_commit_duplicated_state
drm_atomic_helper_commit_hw_done
drm_atomic_helper_commit_modeset_disables
drm_atomic_helper_commit_modeset_enables
drm_atomic_helper_commit_planes
__drm_atomic_helper_connector_destroy_state
__drm_atomic_helper_connector_duplicate_state
__drm_atomic_helper_connector_reset
__drm_atomic_helper_crtc_destroy_state
__drm_atomic_helper_crtc_duplicate_state
drm_atomic_helper_dirtyfb
drm_atomic_helper_disable_plane
drm_atomic_helper_duplicate_state
drm_atomic_helper_page_flip
__drm_atomic_helper_plane_duplicate_state
drm_atomic_helper_prepare_planes
__drm_atomic_helper_private_obj_duplicate_state
drm_atomic_helper_set_config
drm_atomic_helper_shutdown
drm_atomic_helper_swap_state
drm_atomic_helper_update_legacy_modeset_state
drm_atomic_helper_update_plane
drm_atomic_helper_wait_for_fences
drm_atomic_helper_wait_for_vblanks
drm_atomic_private_obj_init
drm_atomic_set_crtc_for_connector
drm_atomic_set_fence_for_plane
drm_atomic_set_mode_for_crtc
drm_atomic_state_alloc
drm_atomic_state_clear
drm_atomic_state_default_clear
drm_atomic_state_default_release
__drm_atomic_state_free
drm_atomic_state_init
drm_bridge_attach
drm_bridge_chain_disable
drm_bridge_chain_enable
drm_bridge_chain_mode_set
drm_bridge_chain_post_disable
drm_bridge_chain_pre_enable
drm_client_init
drm_client_modeset_commit_locked
drm_client_register
drm_compat_ioctl
drm_connector_attach_encoder
drm_connector_cleanup
drm_connector_init
drm_connector_list_iter_begin
drm_connector_list_iter_end
drm_connector_list_iter_next
drm_connector_register
drm_connector_set_tile_property
drm_connector_unregister
drm_connector_update_edid_property
drm_crtc_cleanup
drm_crtc_from_index
drm_crtc_handle_vblank
drm_crtc_init_with_planes
drm_crtc_send_vblank_event
drm_crtc_set_max_vblank_count
drm_crtc_vblank_off
drm_crtc_vblank_on
drm_crtc_vblank_reset
drm_crtc_wait_one_vblank
__drm_dbg
__drm_debug
drm_detect_hdmi_monitor
drm_detect_monitor_audio
drm_dev_alloc
drm_dev_dbg
drm_dev_printk
drm_dev_put
drm_dev_register
drm_dev_unregister
drm_dp_atomic_find_vcpi_slots
drm_dp_atomic_release_vcpi_slots
drm_dp_aux_register
drm_dp_aux_unregister
drm_dp_bw_code_to_link_rate
drm_dp_calc_pbn_mode
drm_dp_channel_eq_ok
drm_dp_check_act_status
drm_dp_clock_recovery_ok
drm_dp_dpcd_read
drm_dp_dpcd_read_link_status
drm_dp_dpcd_write
drm_dp_find_vcpi_slots
drm_dp_get_adjust_request_pre_emphasis
drm_dp_get_adjust_request_voltage
drm_dp_link_rate_to_bw_code
drm_dp_link_train_channel_eq_delay
drm_dp_link_train_clock_recovery_delay
drm_dp_mst_allocate_vcpi
drm_dp_mst_deallocate_vcpi
drm_dp_mst_detect_port
drm_dp_mst_get_edid
drm_dp_mst_get_port_malloc
drm_dp_mst_hpd_irq
drm_dp_mst_put_port_malloc
drm_dp_mst_reset_vcpi_slots
drm_dp_mst_topology_mgr_destroy
drm_dp_mst_topology_mgr_init
drm_dp_mst_topology_mgr_set_mst
drm_dp_send_power_updown_phy
drm_dp_update_payload_part1
drm_dp_update_payload_part2
drm_edid_duplicate
drm_encoder_cleanup
drm_encoder_init
__drm_err
drm_event_reserve_init_locked
drm_format_info
drm_framebuffer_init
drm_framebuffer_lookup
drm_framebuffer_remove
drm_framebuffer_unregister_private
drm_gem_create_mmap_offset
drm_gem_fb_create_handle
drm_gem_fb_destroy
drm_gem_fb_get_obj
drm_gem_free_mmap_offset
drm_gem_get_pages
drm_gem_handle_create
drm_gem_mmap
drm_gem_mmap_obj
drm_gem_object_free
drm_gem_object_init
drm_gem_object_lookup
drm_gem_object_put_locked
drm_gem_object_release
drm_gem_prime_export
drm_gem_prime_fd_to_handle
drm_gem_prime_handle_to_fd
drm_gem_private_object_init
drm_gem_put_pages
drm_gem_vm_close
drm_gem_vm_open
drm_get_connector_status_name
drm_get_edid
drm_get_format_info
drm_helper_hpd_irq_event
drm_helper_mode_fill_fb_struct
drm_helper_probe_single_connector_modes
drm_ioctl
drm_irq_install
drm_irq_uninstall
drm_kms_helper_poll_disable
drm_kms_helper_poll_enable
drm_kms_helper_poll_fini
drm_kms_helper_poll_init
drm_match_cea_mode
drm_mm_init
drm_mm_insert_node_in_range
drmm_mode_config_init
drm_mm_remove_node
drm_mm_takedown
drm_mode_config_cleanup
drm_mode_config_reset
drm_mode_convert_umode
drm_mode_copy
drm_mode_create
drm_mode_create_dp_colorspace_property
drm_mode_create_tile_group
drm_mode_debug_printmodeline
drm_mode_duplicate
drm_mode_equal
drm_mode_get_tile_group
drm_mode_object_find
drm_mode_object_get
drm_mode_object_put
drm_mode_probed_add
drm_modeset_acquire_fini
drm_modeset_acquire_init
drm_modeset_backoff
drm_mode_set_crtcinfo
drm_modeset_drop_locks
drm_modeset_lock
drm_modeset_lock_all
drm_modeset_lock_all_ctx
drm_mode_set_name
drm_modeset_unlock_all
drm_mode_vrefresh
drm_object_attach_property
drm_object_property_set_value
drm_of_component_match_add
drm_open
drm_panel_add
drm_panel_init
drm_panel_remove
drm_plane_cleanup
drm_plane_create_rotation_property
drm_poll
drm_prime_gem_destroy
drm_prime_pages_to_sg
drm_property_blob_get
drm_property_blob_put
drm_property_create
drm_property_create_bitmask
drm_property_create_blob
drm_property_create_enum
drm_property_create_range
drm_property_lookup_blob
drm_read
drm_release
drm_rotation_simplify
drm_send_event_locked
drm_set_preferred_mode
drm_universal_plane_init
drm_vblank_init
drm_wait_one_vblank
dump_stack
edac_device_add_device
edac_device_alloc_ctl_info
edac_device_alloc_index
edac_device_del_device
edac_device_free_ctl_info
edac_device_handle_ce_count
edac_device_handle_ue_count
enable_irq
enable_percpu_irq
ether_setup
eth_mac_addr
eth_type_trans
eventfd_ctx_fdget
eventfd_ctx_fileget
eventfd_ctx_put
eventfd_ctx_remove_wait_queue
eventfd_signal
event_triggers_call
extcon_find_edev_by_node
extcon_get_edev_by_phandle
extcon_get_edev_name
extcon_get_extcon_dev
extcon_get_property
extcon_get_state
extcon_register_notifier
extcon_set_state_sync
extcon_unregister_notifier
fasync_helper
__fdget
fd_install
fget
find_last_bit
find_next_bit
find_next_zero_bit
find_snd_usb_substream
find_vma
find_vpid
finish_wait
firmware_request_nowarn
flush_delayed_work
__flush_icache_range
flush_work
flush_workqueue
fput
free_io_pgtable_ops
free_irq
free_netdev
__free_pages
free_pages
free_pages_exact
free_percpu
free_percpu_irq
freq_qos_add_request
freq_qos_remove_request
freq_qos_update_request
freq_scale
ftrace_dump
fwnode_find_reference
fwnode_get_next_child_node
fwnode_handle_get
fwnode_handle_put
fwnode_property_present
fwnode_property_read_string
fwnode_property_read_u32_array
fwnode_usb_role_switch_get
gcd
generic_device_group
generic_file_llseek
generic_handle_irq
generic_iommu_put_resv_regions
genlmsg_put
genl_register_family
genl_unregister_family
gen_pool_add_owner
gen_pool_alloc_algo_owner
gen_pool_avail
gen_pool_best_fit
gen_pool_create
gen_pool_destroy
gen_pool_first_fit_order_align
gen_pool_free_owner
gen_pool_has_addr
gen_pool_set_algo
gen_pool_size
gen_pool_virt_to_phys
get_cpu_device
get_device
__get_free_pages
get_governor_parent_kobj
get_option
get_pid_task
get_random_bytes
get_sg_io_hdr
get_state_synchronize_rcu
__get_task_comm
get_task_mm
get_unused_fd_flags
gic_nonsecure_priorities
gov_attr_set_init
gov_attr_set_put
governor_sysfs_ops
gpiochip_add_data_with_key
gpiochip_add_pin_range
gpiochip_generic_free
gpiochip_generic_request
gpiochip_get_data
gpiochip_line_is_valid
gpiochip_lock_as_irq
gpiochip_remove
gpiochip_unlock_as_irq
gpiod_direction_input
gpiod_direction_output
gpiod_direction_output_raw
gpiod_get_optional
gpiod_get_raw_value
gpiod_get_raw_value_cansleep
gpiod_get_value
gpiod_get_value_cansleep
gpiod_set_debounce
gpiod_set_raw_value
gpiod_set_raw_value_cansleep
gpiod_set_value
gpiod_set_value_cansleep
gpiod_to_irq
gpio_free
gpio_free_array
gpio_request
gpio_request_one
gpio_to_desc
handle_bad_irq
handle_edge_irq
handle_fasteoi_ack_irq
handle_fasteoi_irq
handle_level_irq
handle_nested_irq
handle_simple_irq
handle_sysrq
hashlen_string
hex2bin
hex_dump_to_buffer
hex_to_bin
hrtimer_cancel
hrtimer_forward
hrtimer_init
hrtimer_start_range_ns
hrtimer_try_to_cancel
hvc_alloc
hvc_kick
hvc_poll
hvc_remove
hwrng_register
hwrng_unregister
hwspin_lock_free
hwspin_lock_request_specific
__hwspin_lock_timeout
__hwspin_unlock
hypervisor_kobj
i2c_add_adapter
i2c_del_adapter
i2c_del_driver
i2c_get_dma_safe_msg_buf
i2c_put_dma_safe_msg_buf
i2c_register_driver
i2c_transfer
i2c_transfer_buffer_flags
icc_disable
icc_enable
icc_get
icc_link_create
icc_node_add
icc_node_create
icc_node_del
icc_node_destroy
icc_provider_add
icc_provider_del
icc_put
icc_set_bw
icc_set_tag
ida_alloc_range
ida_free
idr_alloc
idr_alloc_cyclic
idr_destroy
idr_find
idr_get_next
idr_preload
idr_remove
ieee80211_freq_khz_to_channel
ieee80211_get_channel_khz
ieee80211_hdrlen
iio_channel_get_all
iio_read_channel_processed
import_iovec
in_egroup_p
init_dummy_netdev
init_iova_domain
init_net
init_pid_ns
__init_rwsem
init_srcu_struct
__init_swait_queue_head
init_task
init_timer_key
init_wait_entry
__init_waitqueue_head
input_alloc_absinfo
input_allocate_device
input_close_device
input_event
input_ff_create
input_ff_destroy
input_free_device
input_mt_init_slots
input_mt_report_pointer_emulation
input_mt_report_slot_state
input_open_device
input_register_device
input_register_handle
input_register_handler
input_set_abs_params
input_set_capability
input_unregister_device
input_unregister_handle
input_unregister_handler
invalidate_mapping_pages
iomem_resource
iommu_alloc_resv_region
iommu_attach_device
iommu_detach_device
iommu_device_register
iommu_device_sysfs_add
iommu_device_sysfs_remove
iommu_device_unregister
iommu_dma_enable_best_fit_algo
iommu_dma_get_resv_regions
iommu_dma_reserve_iova
iommu_domain_alloc
iommu_domain_free
iommu_domain_get_attr
iommu_domain_set_attr
iommu_fwspec_add_ids
iommu_fwspec_free
iommu_get_dma_cookie
iommu_get_domain_for_dev
iommu_group_for_each_dev
iommu_group_get
iommu_group_get_iommudata
iommu_group_put
iommu_group_ref_get
iommu_group_set_iommudata
iommu_map
iommu_map_sg
iommu_present
iommu_put_dma_cookie
iommu_set_fault_handler
iommu_unmap
ion_alloc
ion_buffer_zero
__ion_device_add_heap
ion_free
ion_heap_map_kernel
ion_heap_map_user
ion_heap_unmap_kernel
__ioread32_copy
__ioremap
iounmap
__iowrite32_copy
__ipv6_addr_type
irq_chip_ack_parent
irq_chip_disable_parent
irq_chip_enable_parent
irq_chip_eoi_parent
irq_chip_get_parent_state
irq_chip_mask_parent
irq_chip_retrigger_hierarchy
irq_chip_set_affinity_parent
irq_chip_set_parent_state
irq_chip_set_type_parent
irq_chip_set_vcpu_affinity_parent
irq_chip_set_wake_parent
irq_chip_unmask_parent
irq_create_fwspec_mapping
irq_create_mapping_affinity
irq_dispose_mapping
__irq_domain_add
irq_domain_alloc_irqs_parent
irq_domain_create_hierarchy
irq_domain_free_irqs_common
irq_domain_free_irqs_parent
irq_domain_get_irq_data
irq_domain_remove
irq_domain_set_hwirq_and_chip
irq_domain_set_info
irq_domain_update_bus_token
irq_domain_xlate_onecell
irq_domain_xlate_twocell
irq_find_mapping
irq_find_matching_fwspec
irq_get_irqchip_state
irq_get_irq_data
irq_modify_status
irq_of_parse_and_map
irq_set_affinity_hint
irq_set_affinity_notifier
irq_set_chained_handler_and_data
irq_set_chip_and_handler_name
irq_set_chip_data
irq_set_irqchip_state
irq_set_irq_type
irq_set_irq_wake
irq_set_parent
irq_to_desc
irq_work_queue
irq_work_queue_on
irq_work_sync
is_vmalloc_addr
jiffies
jiffies_to_msecs
jiffies_to_usecs
kasprintf
kernel_bind
kernel_connect
kernel_getsockname
kernel_kobj
kernel_power_off
kernel_recvmsg
kernel_restart
kernel_sendmsg
kernfs_find_and_get_ns
kernfs_notify
kernfs_put
__kfifo_alloc
__kfifo_in
__kfifo_out
kfree
kfree_const
kfree_sensitive
kfree_skb
kick_all_cpus_sync
kill_fasync
kimage_vaddr
kimage_voffset
__kmalloc
kmalloc_caches
kmalloc_order_trace
kmem_cache_alloc
kmem_cache_alloc_trace
kmem_cache_create
kmem_cache_create_usercopy
kmem_cache_destroy
kmem_cache_free
kmemdup
kmemdup_nul
kobject_add
kobject_create_and_add
kobject_del
kobject_init
kobject_init_and_add
kobject_put
kobject_set_name
kobject_uevent
kobject_uevent_env
kobj_sysfs_ops
krealloc
kset_create_and_add
ksize
ksoftirqd
kstrdup
kstrdup_const
kstrndup
kstrtobool
kstrtoint
kstrtoint_from_user
kstrtoll
kstrtos8
kstrtos8_from_user
kstrtou16
kstrtou16_from_user
kstrtou8
kstrtou8_from_user
kstrtouint
kstrtouint_from_user
kstrtoul_from_user
kstrtoull
kthread_bind
kthread_bind_mask
kthread_cancel_delayed_work_sync
kthread_cancel_work_sync
kthread_create_on_node
kthread_delayed_work_timer_fn
kthread_flush_work
kthread_flush_worker
__kthread_init_worker
kthread_mod_delayed_work
kthread_park
kthread_parkme
kthread_queue_delayed_work
kthread_queue_work
kthread_should_park
kthread_should_stop
kthread_stop
kthread_unpark
kthread_worker_fn
ktime_get
ktime_get_mono_fast_ns
ktime_get_real_ts64
ktime_get_seconds
ktime_get_with_offset
kvfree
kvmalloc_node
led_classdev_flash_register_ext
led_classdev_flash_unregister
led_classdev_unregister
led_trigger_event
led_trigger_register_simple
led_trigger_unregister_simple
__list_add_valid
__list_del_entry_valid
list_sort
__local_bh_enable_ip
lock_sock_nested
__log_post_read_mmio
__log_read_mmio
__log_write_mmio
mac_pton
match_string
mbox_chan_received_data
mbox_chan_txdone
mbox_client_txdone
mbox_controller_register
mbox_controller_unregister
mbox_free_channel
mbox_request_channel
mbox_send_message
media_device_cleanup
media_device_init
__media_device_register
media_device_unregister
media_entity_pads_init
memblock_end_of_DRAM
memblock_free
__memcat_p
memchr
memchr_inv
memcmp
memcpy
__memcpy_fromio
__memcpy_toio
memdup_user
memmove
memory_block_size_bytes
memory_read_from_buffer
memparse
memremap
memset
__memset_io
memstart_addr
memunmap
migrate_swap
mipi_dsi_create_packet
mipi_dsi_dcs_set_display_brightness
mipi_dsi_dcs_set_tear_off
mipi_dsi_host_register
mipi_dsi_host_unregister
misc_deregister
misc_register
mmc_cqe_request_done
mmc_of_parse
mmc_regulator_get_supply
mmc_regulator_set_ocr
mmc_regulator_set_vqmmc
mmc_send_tuning
mmput
mod_delayed_work_on
mod_node_page_state
mod_timer
__module_get
module_layout
module_put
__msecs_to_jiffies
msleep
msleep_interruptible
__mutex_init
mutex_is_locked
mutex_lock
mutex_lock_interruptible
mutex_trylock
mutex_unlock
napi_complete_done
napi_disable
napi_gro_flush
napi_gro_receive
__napi_schedule
napi_schedule_prep
__netdev_alloc_skb
netdev_update_features
netif_carrier_off
netif_carrier_on
netif_device_attach
netif_device_detach
netif_napi_add
__netif_napi_del
netif_receive_skb
netif_receive_skb_list
netif_rx
netif_rx_ni
netif_tx_stop_all_queues
netif_tx_wake_queue
netlink_broadcast
netlink_capable
__netlink_kernel_create
netlink_kernel_release
nla_memcpy
__nla_parse
nla_put
nla_put_64bit
__nlmsg_put
no_llseek
nonseekable_open
nr_cpu_ids
ns_capable
nsecs_to_jiffies
ns_to_timespec64
__num_online_cpus
nvmem_cell_get
nvmem_cell_put
nvmem_cell_read
nvmem_cell_write
nvmem_device_read
nvmem_device_write
of_address_to_resource
of_alias_get_id
of_clk_add_hw_provider
of_clk_add_provider
of_clk_del_provider
of_clk_get
of_clk_get_from_provider
of_clk_get_parent_count
of_clk_hw_simple_get
of_clk_src_onecell_get
of_clk_src_simple_get
of_count_phandle_with_args
of_cpufreq_cooling_register
of_cpu_node_to_id
of_device_get_match_data
of_device_is_available
of_device_is_compatible
of_device_uevent_modalias
of_dma_configure_id
of_dma_controller_free
of_dma_controller_register
of_dma_is_coherent
of_drm_find_bridge
of_drm_find_panel
of_find_compatible_node
of_find_device_by_node
of_find_i2c_device_by_node
of_find_node_by_name
of_find_node_opts_by_path
of_find_node_with_property
of_find_property
of_fwnode_ops
of_genpd_add_provider_onecell
of_genpd_add_provider_simple
of_genpd_del_provider
of_get_address
of_get_child_by_name
of_get_cpu_node
of_get_named_gpio_flags
of_get_next_available_child
of_get_next_child
of_get_next_parent
of_get_parent
of_get_property
of_get_regulator_init_data
of_graph_get_endpoint_by_regs
of_graph_get_next_endpoint
of_graph_get_port_parent
of_graph_get_remote_endpoint
of_graph_get_remote_node
of_graph_get_remote_port
of_graph_get_remote_port_parent
of_graph_parse_endpoint
of_hwspin_lock_get_id
of_icc_get
of_icc_xlate_onecell
of_iomap
of_irq_find_parent
of_irq_get
of_irq_get_byname
of_irq_parse_one
of_machine_is_compatible
of_match_device
of_match_node
of_modalias_node
of_n_addr_cells
of_node_name_eq
of_n_size_cells
of_parse_phandle
of_parse_phandle_with_args
of_parse_phandle_with_fixed_args
of_phandle_iterator_init
of_phandle_iterator_next
of_phy_simple_xlate
of_platform_depopulate
of_platform_device_create
of_platform_populate
of_property_count_elems_of_size
of_property_match_string
of_property_read_string
of_property_read_string_helper
of_property_read_u32_index
of_property_read_u64
of_property_read_u64_index
of_property_read_variable_u32_array
of_property_read_variable_u8_array
of_prop_next_string
of_prop_next_u32
of_reserved_mem_device_init_by_idx
of_reserved_mem_device_release
of_reserved_mem_lookup
of_reset_control_array_get
of_root
of_thermal_get_ntrips
of_thermal_get_trip_points
of_thermal_is_trip_valid
of_translate_address
of_usb_get_phy_mode
of_usb_host_tpl_support
on_each_cpu
oops_in_progress
panic
panic_notifier_list
panic_timeout
param_get_int
param_get_string
param_ops_bool
param_ops_byte
param_ops_charp
param_ops_int
param_ops_long
param_ops_string
param_ops_uint
param_ops_ullong
param_set_bool
param_set_copystring
param_set_int
pause_cpus
pci_alloc_irq_vectors_affinity
pci_assign_resource
pci_bus_type
pci_clear_master
pci_d3cold_disable
pci_device_group
pci_disable_device
pci_disable_msi
pcie_capability_read_word
pci_enable_device
pci_find_ext_capability
pci_free_irq_vectors
pci_get_device
pci_host_probe
pci_iomap
pci_irq_vector
pci_load_and_free_saved_state
pci_load_saved_state
pci_match_id
pcim_enable_device
pci_msi_create_irq_domain
pci_msi_mask_irq
pci_msi_unmask_irq
pci_read_config_byte
pci_read_config_dword
pci_read_config_word
__pci_register_driver
pci_release_region
pci_request_region
pci_restore_state
pci_save_state
pci_set_master
pci_set_mwi
pci_set_power_state
pci_store_saved_state
pci_unregister_driver
pci_walk_bus
pci_write_config_dword
pci_write_config_word
PDE_DATA
__percpu_down_read
percpu_down_write
__percpu_init_rwsem
__per_cpu_offset
percpu_up_write
perf_aux_output_begin
perf_aux_output_end
perf_aux_output_flag
perf_event_addr_filters_sync
perf_event_create_kernel_counter
perf_event_disable
perf_event_enable
perf_event_read_local
perf_event_read_value
perf_event_release_kernel
perf_get_aux
perf_pmu_register
perf_pmu_unregister
perf_trace_buf_alloc
perf_trace_run_bpf_submit
pfn_valid
phy_calibrate
phy_exit
phy_init
phy_pm_runtime_get_sync
phy_pm_runtime_put_sync
phy_power_off
phy_power_on
phy_set_mode_ext
pinconf_generic_dt_node_to_map
pinctrl_dev_get_drvdata
pinctrl_force_default
pinctrl_force_sleep
pinctrl_get
pinctrl_lookup_state
pinctrl_pm_select_default_state
pinctrl_pm_select_sleep_state
pinctrl_put
pinctrl_select_state
pinctrl_utils_free_map
platform_bus_type
platform_device_add
platform_device_add_data
platform_device_add_properties
platform_device_add_resources
platform_device_alloc
platform_device_del
platform_device_put
platform_device_register
platform_device_register_full
platform_device_unregister
__platform_driver_register
platform_driver_unregister
platform_get_irq
platform_get_irq_byname
platform_get_irq_byname_optional
platform_get_resource
platform_get_resource_byname
platform_irq_count
pm_clk_add
pm_clk_create
pm_clk_destroy
pm_clk_resume
pm_clk_suspend
pm_generic_resume
pm_generic_suspend
pm_genpd_add_subdomain
pm_genpd_init
pm_genpd_remove
pm_genpd_remove_subdomain
pm_power_off
__pm_relax
pm_relax
pm_runtime_allow
pm_runtime_barrier
__pm_runtime_disable
pm_runtime_enable
pm_runtime_forbid
pm_runtime_force_resume
pm_runtime_force_suspend
__pm_runtime_idle
pm_runtime_irq_safe
pm_runtime_no_callbacks
__pm_runtime_resume
pm_runtime_set_autosuspend_delay
__pm_runtime_set_status
__pm_runtime_suspend
__pm_runtime_use_autosuspend
__pm_stay_awake
pm_stay_awake
pm_system_wakeup
pm_wakeup_dev_event
pm_wakeup_ws_event
power_supply_changed
power_supply_get_by_name
power_supply_get_drvdata
power_supply_get_property
power_supply_put
power_supply_register
power_supply_reg_notifier
power_supply_set_property
power_supply_unregister
power_supply_unreg_notifier
prandom_u32
preempt_schedule
preempt_schedule_notrace
prepare_to_wait_event
print_hex_dump
printk
printk_deferred
proc_create_data
proc_dointvec
proc_dointvec_minmax
proc_dostring
proc_douintvec_minmax
proc_mkdir
proto_register
proto_unregister
pskb_expand_head
__pskb_pull_tail
put_device
put_disk
put_iova_domain
put_sg_io_hdr
__put_task_struct
put_unused_fd
pwm_apply_state
pwmchip_add
pwmchip_remove
qcom_smem_state_get
qcom_smem_state_register
qcom_smem_state_unregister
qcom_smem_state_update_bits
queue_delayed_work_on
queue_work_on
radix_tree_delete
radix_tree_insert
radix_tree_iter_delete
radix_tree_lookup
radix_tree_maybe_preload
radix_tree_next_chunk
___ratelimit
rational_best_approximation
raw_notifier_call_chain
raw_notifier_chain_register
raw_notifier_chain_unregister
_raw_read_lock
_raw_read_lock_bh
_raw_read_lock_irq
_raw_read_lock_irqsave
_raw_read_unlock
_raw_read_unlock_bh
_raw_read_unlock_irq
_raw_read_unlock_irqrestore
_raw_spin_lock
_raw_spin_lock_bh
_raw_spin_lock_irq
_raw_spin_lock_irqsave
_raw_spin_trylock
_raw_spin_unlock
_raw_spin_unlock_bh
_raw_spin_unlock_irq
_raw_spin_unlock_irqrestore
_raw_write_lock
_raw_write_lock_bh
_raw_write_lock_irq
_raw_write_lock_irqsave
_raw_write_unlock
_raw_write_unlock_bh
_raw_write_unlock_irq
_raw_write_unlock_irqrestore
rb_erase
rb_first
rb_insert_color
rb_next
rcu_barrier
rcu_barrier_tasks
rcu_barrier_tasks_trace
rcu_bind_current_to_nocb
rcu_cpu_stall_suppress
rcu_cpu_stall_suppress_at_boot
rcu_expedite_gp
rcu_force_quiescent_state
rcu_fwd_progress_check
rcu_get_gp_kthreads_prio
rcu_get_gp_seq
rcu_gp_is_expedited
rcu_gp_is_normal
rcu_gp_set_torture_wait
rcu_inkernel_boot_has_ended
rcu_is_watching
rcu_jiffies_till_stall_check
__rcu_read_lock
__rcu_read_unlock
rcu_read_unlock_trace_special
rcutorture_get_gp_data
rcu_unexpedite_gp
rcuwait_wake_up
rdev_get_drvdata
reboot_mode
refcount_dec_and_lock
refcount_dec_not_one
refcount_warn_saturate
regcache_cache_only
regcache_mark_dirty
regcache_sync
regcache_sync_region
__register_chrdev
register_chrdev_region
register_console
register_ftrace_export
register_inet6addr_notifier
register_inetaddr_notifier
register_kprobe
register_kretprobe
register_memory_notifier
register_netdev
register_netdevice
register_netdevice_notifier
register_netevent_notifier
register_oom_notifier
register_pm_notifier
register_reboot_notifier
register_restart_handler
__register_rpmsg_driver
register_shrinker
register_syscore_ops
register_sysctl
register_sysctl_table
regmap_bulk_read
regmap_bulk_write
regmap_check_range_table
regmap_field_read
regmap_field_update_bits_base
__regmap_init
regmap_irq_get_virq
regmap_mmio_detach_clk
regmap_read
regmap_update_bits_base
regmap_write
regulator_allow_bypass
regulator_bulk_disable
regulator_count_voltages
regulator_disable
regulator_disable_deferred
regulator_enable
regulator_force_disable
regulator_get
regulator_get_drvdata
regulator_get_mode
regulator_get_voltage
regulator_get_voltage_rdev
regulator_is_enabled
regulator_is_supported_voltage
regulator_list_voltage_linear
regulator_notifier_call_chain
regulator_put
regulator_register_notifier
regulator_set_current_limit
regulator_set_load
regulator_set_mode
regulator_set_voltage
regulator_unregister_notifier
regulatory_set_wiphy_regd
release_firmware
__release_region
release_sock
remap_pfn_range
remove_cpu
remove_proc_entry
remove_wait_queue
report_iommu_fault
request_any_context_irq
request_firmware
request_firmware_into_buf
request_firmware_nowait
__request_module
__request_percpu_irq
__request_region
request_threaded_irq
resched_curr
reset_control_assert
reset_control_deassert
reset_control_put
reset_control_reset
resume_cpus
return_address
rfkill_alloc
rfkill_destroy
rfkill_init_sw_state
rfkill_register
rfkill_unregister
rndis_deregister
rndis_free_response
rndis_get_next_response
rndis_msg_parser
rndis_register
rndis_set_host_mac
rndis_set_param_dev
rndis_set_param_medium
rndis_set_param_vendor
rndis_signal_connect
rndis_uninit
root_task_group
round_jiffies_relative
rpmsg_get_signals
rpmsg_poll
rpmsg_register_device
rpmsg_send
rpmsg_set_signals
rpmsg_trysend
rpmsg_unregister_device
rproc_add
rproc_add_subdev
rproc_alloc
rproc_boot
rproc_coredump_add_segment
rproc_coredump_set_elf_info
rproc_del
rproc_free
rproc_get_by_child
rproc_get_by_phandle
rproc_put
rproc_remove_subdev
rproc_report_crash
rproc_shutdown
__rtc_register_device
rtc_time64_to_tm
rtc_tm_to_time64
rtc_update_irq
rt_mutex_lock
rt_mutex_unlock
rtnl_is_locked
rtnl_lock
rtnl_register_module
rtnl_trylock
rtnl_unlock
rtnl_unregister
runqueues
sched_clock
sched_feat_keys
sched_feat_names
sched_set_fifo
sched_set_fifo_low
sched_set_normal
sched_setscheduler
sched_setscheduler_nocheck
sched_show_task
sched_uclamp_used
schedule
schedule_hrtimeout
schedule_timeout
schedule_timeout_interruptible
schedule_timeout_uninterruptible
scnprintf
scsi_autopm_get_device
scsi_autopm_put_device
scsi_block_when_processing_errors
scsi_command_size_tbl
scsi_compat_ioctl
scsi_device_get
scsi_device_put
scsi_ioctl
scsi_ioctl_block_when_processing_errors
__scsi_iterate_devices
scsi_normalize_sense
__scsi_print_sense
scsi_register_interface
sdev_prefix_printk
__sdhci_add_host
sdhci_add_host
sdhci_cleanup_host
sdhci_cqe_disable
sdhci_cqe_enable
sdhci_cqe_irq
sdhci_enable_clk
sdhci_get_property
sdhci_pltfm_free
sdhci_pltfm_init
sdhci_remove_host
sdhci_reset
sdhci_set_bus_width
sdhci_set_power_noreg
sdhci_setup_host
seq_hex_dump
seq_lseek
seq_open
seq_printf
seq_putc
seq_puts
seq_read
seq_release
seq_vprintf
seq_write
set_cpus_allowed_ptr
set_normalized_timespec64
set_task_cpu
set_user_nice
sg_alloc_table
sg_alloc_table_from_pages
sg_free_table
sg_init_one
sg_init_table
sg_miter_next
sg_miter_start
sg_miter_stop
sg_next
__sg_page_iter_next
__sg_page_iter_start
sg_pcopy_from_buffer
sg_pcopy_to_buffer
sg_scsi_ioctl
shmem_truncate_range
show_rcu_gp_kthreads
sigprocmask
simple_attr_open
simple_attr_read
simple_attr_release
simple_attr_write
simple_open
simple_read_from_buffer
simple_write_to_buffer
single_open
single_release
sk_alloc
skb_add_rx_frag
skb_clone
skb_coalesce_rx_frag
skb_copy
skb_copy_bits
skb_copy_expand
skb_copy_datagram_iter
skb_dequeue
skb_free_datagram
__skb_pad
skb_pull
skb_push
skb_put
skb_queue_purge
skb_queue_head
skb_queue_tail
skb_recv_datagram
skb_set_owner_w
skb_store_bits
skb_trim
sk_free
skip_spaces
smp_call_function
smp_call_function_any
smp_call_function_single
snd_card_free
snd_card_new
snd_card_register
snd_info_create_card_entry
snd_info_create_module_entry
snd_info_free_entry
snd_info_register
snd_jack_set_key
snd_pcm_format_width
snd_pcm_hw_constraint_integer
snd_pcm_new
snd_pcm_period_elapsed
snd_pcm_set_managed_buffer_all
snd_pcm_set_ops
_snd_pcm_stream_lock_irqsave
snd_pcm_stream_unlock_irqrestore
snd_soc_add_component_controls
snd_soc_card_get_kcontrol
snd_soc_card_jack_new
snd_soc_component_exit_regmap
snd_soc_component_init_regmap
snd_soc_component_read
snd_soc_component_update_bits
snd_soc_component_write
snd_soc_dai_get_channel_map
snd_soc_dai_set_channel_map
snd_soc_dapm_add_routes
snd_soc_dapm_disable_pin
snd_soc_dapm_force_enable_pin
snd_soc_dapm_get_enum_double
snd_soc_dapm_get_volsw
snd_soc_dapm_ignore_suspend
snd_soc_dapm_kcontrol_widget
snd_soc_dapm_mixer_update_power
snd_soc_dapm_mux_update_power
snd_soc_dapm_new_controls
snd_soc_dapm_new_widgets
snd_soc_dapm_put_enum_double
snd_soc_dapm_put_volsw
snd_soc_dapm_sync
snd_soc_get_enum_double
snd_soc_get_pcm_runtime
snd_soc_get_volsw
snd_soc_info_enum_double
snd_soc_info_multi_ext
snd_soc_info_volsw
snd_soc_jack_report
snd_soc_lookup_component
snd_soc_of_parse_audio_routing
snd_soc_of_parse_card_name
snd_soc_pm_ops
snd_soc_put_enum_double
snd_soc_put_volsw
snd_soc_register_component
snd_soc_rtdcom_lookup
snd_soc_unregister_card
snd_soc_unregister_component
snd_usb_enable_audio_stream
snprintf
soc_device_register
soc_device_unregister
sock_alloc_send_skb
sock_create_kern
sock_gettstamp
sock_init_data
sock_no_accept
sock_no_listen
sock_no_mmap
sock_no_sendpage
sock_no_shutdown
sock_no_socketpair
sock_queue_rcv_skb
sock_register
sock_release
sock_unregister
sort
__spi_alloc_controller
spi_register_controller
__spi_register_driver
spi_setup
spi_sync
spi_unregister_controller
split_page
spmi_controller_add
spmi_controller_alloc
spmi_controller_remove
__spmi_driver_register
spmi_ext_register_read
spmi_ext_register_readl
spmi_ext_register_write
spmi_ext_register_writel
spmi_register_read
spmi_register_write
spmi_register_zero_write
sprintf
sprint_symbol
srcu_barrier
srcu_batches_completed
srcu_init_notifier_head
srcu_notifier_call_chain
srcu_notifier_chain_register
srcu_notifier_chain_unregister
__srcu_read_lock
__srcu_read_unlock
srcutorture_get_gp_data
srcu_torture_stats_print
sscanf
__stack_chk_fail
__stack_chk_guard
stack_trace_print
stack_trace_save
static_key_disable_cpuslocked
stop_machine
stop_one_cpu_nowait
strcasecmp
strchr
strchrnul
strcmp
strcpy
strim
strlcat
strlcpy
strlen
strncasecmp
strnchr
strncmp
strncpy
strnlen
strnstr
strpbrk
strrchr
strreplace
strscpy
strsep
strstr
__sw_hweight32
__sw_hweight64
__sw_hweight8
sync_file_create
sync_file_get_fence
synchronize_irq
synchronize_net
synchronize_rcu
synchronize_rcu_expedited
synchronize_rcu_tasks
synchronize_rcu_tasks_trace
synchronize_srcu
synchronize_srcu_expedited
syscon_node_to_regmap
syscon_regmap_lookup_by_phandle
sysctl_sched_features
sysctl_sched_latency
sysctl_vals
sysfs_add_file_to_group
sysfs_add_link_to_group
sysfs_create_file_ns
sysfs_create_files
sysfs_create_group
sysfs_create_groups
sysfs_create_link
sysfs_notify
sysfs_remove_file_from_group
sysfs_remove_file_ns
sysfs_remove_files
sysfs_remove_group
sysfs_remove_groups
sysfs_remove_link
sysfs_remove_link_from_group
sysfs_streq
sysrq_mask
system_freezable_wq
system_highpri_wq
system_long_wq
system_power_efficient_wq
system_state
system_unbound_wq
system_wq
sys_tz
task_active_pid_ns
task_groups
__tasklet_hi_schedule
tasklet_init
tasklet_kill
__tasklet_schedule
tasklet_setup
tasklist_lock
__task_pid_nr_ns
__task_rq_lock
thermal_cooling_device_unregister
thermal_of_cooling_device_register
thermal_pressure
thermal_zone_device_register
thermal_zone_device_update
thermal_zone_of_sensor_register
thermal_zone_of_sensor_unregister
time64_to_tm
timer_unstable_counter_workaround
topology_set_thermal_pressure
_totalram_pages
__trace_bprintk
trace_clock_local
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_ignore_this_pid
trace_event_raw_init
trace_event_reg
trace_handle_return
__traceiter_cpu_frequency
__tracepoint_android_rvh_account_irq
__tracepoint_android_rvh_build_perf_domains
__tracepoint_android_rvh_can_migrate_task
__tracepoint_android_rvh_cpu_cgroup_attach
__tracepoint_android_rvh_dequeue_task
__tracepoint_android_rvh_enqueue_task
__tracepoint_android_rvh_find_busiest_queue
__tracepoint_android_rvh_find_lowest_rq
__tracepoint_android_rvh_flush_task
__tracepoint_android_rvh_irqs_disable
__tracepoint_android_rvh_irqs_enable
__tracepoint_android_rvh_migrate_queued_task
__tracepoint_android_rvh_new_task_stats
__tracepoint_android_rvh_place_entity
__tracepoint_android_rvh_preempt_disable
__tracepoint_android_rvh_preempt_enable
__tracepoint_android_rvh_resume_cpus
__tracepoint_android_rvh_sched_cpu_dying
__tracepoint_android_rvh_sched_cpu_starting
__tracepoint_android_rvh_sched_exec
__tracepoint_android_rvh_sched_fork
__tracepoint_android_rvh_sched_fork_init
__tracepoint_android_rvh_sched_newidle_balance
__tracepoint_android_rvh_sched_nohz_balancer_kick
__tracepoint_android_rvh_schedule
__tracepoint_android_rvh_schedule_bug
__tracepoint_android_rvh_select_task_rq_fair
__tracepoint_android_rvh_set_gfp_zone_flags
__tracepoint_android_rvh_set_readahead_gfp_mask
__tracepoint_android_rvh_set_skip_swapcache_flags
__tracepoint_android_rvh_set_task_cpu
__tracepoint_android_rvh_tick_entry
__tracepoint_android_rvh_try_to_wake_up
__tracepoint_android_rvh_try_to_wake_up_success
__tracepoint_android_rvh_ttwu_cond
__tracepoint_android_rvh_update_cpu_capacity
__tracepoint_android_rvh_update_cpus_allowed
__tracepoint_android_rvh_update_misfit_status
__tracepoint_android_rvh_wake_up_new_task
__tracepoint_android_vh_binder_wakeup_ilocked
__tracepoint_android_vh_cpu_idle_enter
__tracepoint_android_vh_cpu_idle_exit
__tracepoint_android_vh_dump_throttled_rt_tasks
__tracepoint_android_vh_freq_table_limits
__tracepoint_android_vh_jiffies_update
__tracepoint_android_vh_scheduler_tick
__tracepoint_android_vh_show_resume_epoch_val
__tracepoint_android_vh_show_max_freq
__tracepoint_android_vh_show_suspend_epoch_val
__tracepoint_binder_transaction_received
__tracepoint_cpu_frequency
__tracepoint_cpu_idle
tracepoint_probe_register
tracepoint_probe_unregister
__tracepoint_rwmmio_post_read
__tracepoint_rwmmio_read
__tracepoint_rwmmio_write
__tracepoint_suspend_resume
trace_print_array_seq
trace_print_symbols_seq
trace_raw_output_prep
trace_seq_printf
trace_seq_putc
tracing_off
try_module_get
try_wait_for_completion
tty_flip_buffer_push
__tty_insert_flip_char
tty_insert_flip_string_fixed_flag
typec_altmode_get_partner
typec_altmode_update_active
typec_get_drvdata
typec_partner_register_altmode
typec_port_register_altmode
typec_register_partner
typec_register_port
typec_set_data_role
typec_set_pwr_opmode
typec_set_pwr_role
typec_unregister_altmode
typec_unregister_partner
typec_unregister_port
uart_add_one_port
uart_console_device
uart_console_write
uart_get_baud_rate
uart_insert_char
uart_parse_options
uart_register_driver
uart_remove_one_port
uart_resume_port
uart_set_options
uart_suspend_port
uart_try_toggle_sysrq
uart_unregister_driver
uart_update_timeout
uart_write_wakeup
uclamp_eff_value
ucsi_connector_change
ucsi_create
ucsi_destroy
ucsi_get_drvdata
ucsi_register
ucsi_set_drvdata
ucsi_unregister
__udelay
ufshcd_auto_hibern8_update
ufshcd_dme_get_attr
ufshcd_dme_set_attr
ufshcd_dump_regs
ufshcd_fixup_dev_quirks
ufshcd_get_local_unipro_ver
ufshcd_hold
ufshcd_pltfrm_init
ufshcd_pltfrm_resume
ufshcd_pltfrm_runtime_idle
ufshcd_pltfrm_runtime_resume
ufshcd_pltfrm_runtime_suspend
ufshcd_pltfrm_shutdown
ufshcd_pltfrm_suspend
ufshcd_query_attr
ufshcd_query_descriptor_retry
ufshcd_query_flag
ufshcd_release
ufshcd_remove
ufshcd_uic_hibern8_enter
ufshcd_uic_hibern8_exit
__uio_register_device
uio_unregister_device
unmap_mapping_range
__unregister_chrdev
unregister_chrdev_region
unregister_console
unregister_ftrace_export
unregister_inet6addr_notifier
unregister_inetaddr_notifier
unregister_kprobe
unregister_kretprobe
unregister_netdev
unregister_netdevice_notifier
unregister_netdevice_queue
unregister_netevent_notifier
unregister_oom_notifier
unregister_pm_notifier
unregister_reboot_notifier
unregister_restart_handler
unregister_rpmsg_driver
unregister_syscore_ops
unregister_sysctl_table
up
update_rq_clock
up_read
up_write
usb_add_gadget
usb_add_gadget_udc
usb_add_hcd
usb_add_phy_dev
usb_alloc_coherent
usb_alloc_dev
usb_alloc_urb
usb_amd_dev_put
usb_amd_pt_check_port
usb_amd_quirk_pll_check
usb_amd_quirk_pll_disable
usb_amd_quirk_pll_enable
usb_asmedia_modifyflowcontrol
usb_assign_descriptors
usb_autopm_get_interface
usb_autopm_put_interface
usb_bulk_msg
usb_composite_setup_continue
usb_control_msg
__usb_create_hcd
usb_create_shared_hcd
usb_debug_root
usb_decode_ctrl
usb_del_gadget_udc
usb_deregister
usb_disabled
usb_disable_xhci_ports
usb_enable_intel_xhci_ports
usb_ep_alloc_request
usb_ep_autoconfig
usb_ep_dequeue
usb_ep_disable
usb_ep_enable
usb_ep_free_request
usb_ep_queue
usb_ep_set_halt
usb_ep_set_maxpacket_limit
usb_find_common_endpoints
usb_free_all_descriptors
usb_free_coherent
usb_free_urb
usb_function_register
usb_function_unregister
usb_gadget_giveback_request
usb_gadget_map_request_by_dev
usb_gadget_set_state
usb_gadget_udc_reset
usb_gadget_unmap_request_by_dev
usb_gadget_vbus_connect
usb_gadget_vbus_disconnect
usb_gadget_vbus_draw
usb_gadget_wakeup
usb_get_dr_mode
usb_get_maximum_speed
usb_get_urb
usb_gstrings_attach
usb_hcd_check_unlink_urb
usb_hcd_end_port_resume
usb_hcd_giveback_urb
usb_hc_died
usb_hcd_irq
usb_hcd_is_primary_hcd
usb_hcd_link_urb_to_ep
usb_hcd_map_urb_for_dma
usb_hcd_pci_pm_ops
usb_hcd_pci_probe
usb_hcd_pci_remove
usb_hcd_pci_shutdown
usb_hcd_platform_shutdown
usb_hcd_poll_rh_status
usb_hcd_resume_root_hub
usb_hcd_start_port_resume
usb_hcd_unlink_urb_from_ep
usb_hub_clear_tt_buffer
usb_hub_find_child
usb_ifnum_to_if
usb_initialize_gadget
usb_interface_id
usb_kill_urb
usb_poison_urb
usb_put_dev
usb_put_function_instance
usb_put_hcd
usb_register_driver
usb_register_notify
usb_remove_hcd
usb_remove_phy
usb_role_switch_get_drvdata
usb_role_switch_register
usb_role_switch_set_role
usb_role_switch_unregister
usb_root_hub_lost_power
usb_set_device_state
usb_speed_string
usb_string_id
usb_submit_urb
usb_unregister_notify
usb_wakeup_notification
__usecs_to_jiffies
usleep_range
v4l2_compat_ioctl32
v4l2_ctrl_find
v4l2_ctrl_handler_free
v4l2_ctrl_handler_init_class
v4l2_ctrl_new_custom
v4l2_ctrl_new_std
v4l2_ctrl_new_std_menu
v4l2_device_register
v4l2_device_register_subdev
__v4l2_device_register_subdev_nodes
v4l2_device_unregister
v4l2_device_unregister_subdev
v4l2_event_dequeue
v4l2_event_pending
v4l2_event_queue
v4l2_event_queue_fh
v4l2_event_subscribe
v4l2_event_unsubscribe
v4l2_fh_add
v4l2_fh_del
v4l2_fh_exit
v4l2_fh_init
v4l2_fh_open
v4l2_fh_release
v4l2_s_ctrl
v4l2_subdev_call_wrappers
v4l2_subdev_init
vabits_actual
vb2_buffer_done
vb2_dqbuf
vb2_qbuf
vb2_queue_init
vb2_queue_release
vb2_reqbufs
vb2_streamoff
vb2_streamon
vchan_dma_desc_free_list
vchan_find_desc
vchan_init
vchan_tx_desc_free
vchan_tx_submit
vfree
video_devdata
video_device_alloc
video_device_release
video_device_release_empty
video_ioctl2
__video_register_device
video_unregister_device
vmalloc
vmalloc_to_page
vmalloc_to_pfn
vmap
vmf_insert_mixed
vm_get_page_prot
vm_iomap_memory
vm_map_pages
vm_mmap
vm_munmap
vprintk
vscnprintf
vsnprintf
vunmap
vzalloc
wait_for_completion
wait_for_completion_interruptible
wait_for_completion_interruptible_timeout
wait_for_completion_killable
wait_for_completion_timeout
wait_woken
__wait_rcu_gp
__wake_up
wake_up_if_idle
__wake_up_locked
wake_up_process
wakeup_source_register
wakeup_source_unregister
__warn_printk
wiphy_free
wiphy_new_nm
wiphy_register
wiphy_unregister
wireless_send_event
woken_wake_function
work_busy
ww_mutex_lock
ww_mutex_unlock
__xa_alloc
xa_destroy
xa_erase
xa_find
xa_find_after
xa_load
xa_store
[abi_symbol_list]
# commonly used symbols
add_timer
__alloc_disk_node
alloc_etherdev_mqs
__alloc_pages_nodemask
__alloc_skb
alloc_workqueue
__arch_copy_from_user
__arch_copy_to_user
arm64_const_caps_ready
arm64_use_ng_mappings
bcmp
bio_alloc_bioset
bio_endio
blk_alloc_queue
blk_cleanup_queue
blk_queue_flag_clear
blk_queue_flag_set
blk_queue_io_min
blk_queue_io_opt
blk_queue_logical_block_size
blk_queue_max_discard_sectors
blk_queue_max_write_zeroes_sectors
blk_queue_physical_block_size
blk_queue_write_cache
blk_status_to_errno
bpf_trace_run1
bpf_trace_run2
bpf_trace_run3
bus_register
bus_unregister
cancel_delayed_work_sync
cancel_work_sync
capable
cfg80211_inform_bss_data
cfg80211_put_bss
__check_object_size
__class_create
class_destroy
complete
complete_all
__const_udelay
consume_skb
__cpuhp_remove_state
__cpuhp_setup_state
__cpuhp_state_add_instance
__cpuhp_state_remove_instance
cpu_hwcap_keys
cpu_hwcaps
cpumask_next
cpu_number
__cpu_online_mask
__cpu_possible_mask
crypto_register_alg
crypto_register_scomp
crypto_unregister_alg
crypto_unregister_scomp
_ctype
debugfs_create_dir
debugfs_create_file
debugfs_remove
delayed_work_timer_fn
del_gendisk
del_timer
del_timer_sync
destroy_workqueue
_dev_err
device_add_disk
device_create
device_initialize
device_register
device_release_driver
device_unregister
_dev_info
__dev_kfree_skb_any
devm_add_action
devm_ioremap
devm_kfree
devm_kmalloc
devm_request_threaded_irq
dev_queue_xmit
dev_set_name
_dev_warn
dma_alloc_attrs
dma_buf_export
dma_fence_context_alloc
dma_fence_init
dma_fence_release
dma_fence_signal_locked
dma_free_attrs
dma_map_sg_attrs
dma_set_coherent_mask
dma_set_mask
dma_sync_sg_for_device
dma_unmap_sg_attrs
down_read
down_write
ether_setup
ethtool_op_get_link
eth_validate_addr
event_triggers_call
fd_install
finish_wait
flush_dcache_page
flush_work
fput
free_irq
free_netdev
__free_pages
free_pages
fs_bio_set
get_device
__get_free_pages
get_random_bytes
get_unused_fd_flags
gic_nonsecure_priorities
hwrng_register
hwrng_unregister
ida_alloc_range
ida_free
idr_alloc
idr_destroy
idr_remove
__init_rwsem
__init_swait_queue_head
init_timer_key
init_wait_entry
__init_waitqueue_head
input_allocate_device
input_event
input_free_device
input_register_device
input_unregister_device
iounmap
is_vmalloc_addr
jiffies
jiffies_to_msecs
kfree
kfree_skb
kill_anon_super
kimage_voffset
__kmalloc
kmalloc_caches
kmem_cache_alloc
kmem_cache_alloc_trace
kmem_cache_create
kmem_cache_destroy
kmem_cache_free
kmemdup
kobject_add
kobject_init
kobject_put
kobject_uevent
krealloc
kstrdup
kstrtoint
kstrtoull
ktime_get
ktime_get_mono_fast_ns
ktime_get_raw_ts64
ktime_get_real_seconds
ktime_get_real_ts64
ktime_get_ts64
ktime_get_with_offset
kvfree
kvmalloc_node
__list_add_valid
__list_del_entry_valid
__local_bh_enable_ip
lzo1x_decompress_safe
memcpy
memmove
memparse
memremap
memset
memstart_addr
memunmap
misc_deregister
misc_register
mod_timer
module_layout
module_put
__msecs_to_jiffies
msleep
__mutex_init
mutex_lock
mutex_lock_interruptible
mutex_unlock
netdev_err
netdev_info
netdev_rx_handler_register
netdev_rx_handler_unregister
netdev_upper_dev_unlink
netif_carrier_off
netif_carrier_on
netif_device_detach
netif_tx_stop_all_queues
netif_tx_wake_queue
nf_conntrack_destroy
no_llseek
noop_llseek
nr_cpu_ids
__num_online_cpus
param_ops_bint
param_ops_bool
param_ops_charp
param_ops_int
param_ops_uint
passthru_features_check
pci_alloc_irq_vectors_affinity
pci_disable_device
pci_enable_device
pci_free_irq_vectors
pci_iomap
pci_irq_vector
pci_match_id
pci_read_config_byte
pci_read_config_dword
pci_read_config_word
__pci_register_driver
pci_release_region
pci_release_regions
pci_request_region
pci_request_regions
pci_set_master
pci_set_power_state
pci_unregister_driver
pci_write_config_dword
__per_cpu_offset
perf_trace_buf_alloc
perf_trace_run_bpf_submit
platform_device_add
platform_device_alloc
platform_device_del
platform_device_put
platform_device_unregister
__platform_driver_register
platform_driver_unregister
platform_get_irq
platform_get_resource
pm_runtime_allow
__pm_runtime_disable
pm_runtime_enable
pm_runtime_forbid
__pm_runtime_resume
__pm_runtime_suspend
__pm_runtime_use_autosuspend
preempt_schedule
preempt_schedule_notrace
prepare_to_wait
prepare_to_wait_event
printk
put_device
put_disk
__put_page
__put_task_struct
put_unused_fd
queue_delayed_work_on
queue_work_on
___ratelimit
_raw_spin_lock
_raw_spin_lock_bh
_raw_spin_lock_irq
_raw_spin_lock_irqsave
_raw_spin_unlock
_raw_spin_unlock_bh
_raw_spin_unlock_irq
_raw_spin_unlock_irqrestore
__rcu_read_lock
__rcu_read_unlock
refcount_warn_saturate
register_blkdev
register_netdev
register_netdevice
register_netdevice_notifier
register_virtio_device
register_virtio_driver
remap_pfn_range
__request_module
request_threaded_irq
revalidate_disk_size
rtnl_lock
rtnl_unlock
schedule
schedule_timeout
schedule_timeout_uninterruptible
scnprintf
seq_lseek
seq_open
seq_printf
seq_read
seq_release
set_disk_ro
sg_alloc_table
sg_free_table
sg_init_one
sg_init_table
sg_next
simple_strtoul
single_open
single_release
skb_add_rx_frag
skb_put
snd_card_disconnect
snd_card_free
snd_card_new
snd_card_register
snd_card_rw_proc_new
snd_component_add
snd_ctl_add
_snd_ctl_add_follower
snd_ctl_boolean_mono_info
snd_ctl_enum_info
snd_ctl_find_id
snd_ctl_make_virtual_master
snd_ctl_new1
snd_ctl_sync_vmaster
snd_device_new
snd_dma_alloc_pages
snd_dma_free_pages
snd_pci_quirk_lookup
snd_pcm_add_chmap_ctls
snd_pcm_alt_chmaps
snd_pcm_hw_constraint_integer
snd_pcm_hw_limit_rates
snd_pcm_new
snd_pcm_period_elapsed
snd_pcm_set_managed_buffer_all
snd_pcm_set_ops
snd_pcm_std_chmaps
snprintf
sort
sprintf
__stack_chk_fail
__stack_chk_guard
strcmp
strcpy
strlcpy
strlen
strncmp
strncpy
strsep
strstr
submit_bio
__sw_hweight32
sync_file_create
synchronize_irq
synchronize_rcu
sysfs_create_group
__sysfs_match_string
sysfs_remove_group
sysfs_remove_link
system_wq
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_ignore_this_pid
trace_event_raw_init
trace_event_reg
trace_handle_return
trace_raw_output_prep
trace_seq_printf
try_module_get
unlock_page
unregister_blkdev
unregister_netdev
unregister_netdevice_notifier
unregister_netdevice_queue
unregister_virtio_device
unregister_virtio_driver
up_read
up_write
usb_add_hcd
usb_create_shared_hcd
usb_disabled
usb_hcd_check_unlink_urb
usb_hcd_giveback_urb
usb_hcd_is_primary_hcd
usb_hcd_link_urb_to_ep
usb_hcd_poll_rh_status
usb_hcd_resume_root_hub
usb_hcd_unlink_urb_from_ep
usb_put_hcd
usb_remove_hcd
usleep_range
vabits_actual
vfree
virtio_check_driver_offered_feature
virtio_config_changed
virtqueue_add_inbuf
virtqueue_add_outbuf
virtqueue_add_sgs
virtqueue_detach_unused_buf
virtqueue_disable_cb
virtqueue_enable_cb
virtqueue_get_avail_addr
virtqueue_get_buf
virtqueue_get_desc_addr
virtqueue_get_used_addr
virtqueue_get_vring_size
virtqueue_is_broken
virtqueue_kick
virtqueue_kick_prepare
virtqueue_notify
vring_create_virtqueue
vring_del_virtqueue
vring_interrupt
vring_transport_features
wait_for_completion
wait_for_completion_timeout
__wake_up
__warn_printk
# required by dummy-cpufreq.ko
cpufreq_generic_attr
cpufreq_register_driver
cpufreq_unregister_driver
# required by dummy_hcd.ko
device_create_file
device_remove_file
platform_device_add_data
sg_miter_next
sg_miter_start
sg_miter_stop
usb_add_gadget_udc
usb_create_hcd
usb_del_gadget_udc
usb_ep_set_maxpacket_limit
usb_gadget_giveback_request
usb_gadget_udc_reset
usb_get_dev
usb_put_dev
# required by failover.ko
netdev_master_upper_dev_link
rtnl_is_locked
# required by gnss-cmdline.ko
bus_find_device
device_find_child
device_match_name
platform_bus_type
# required by gnss-serial.ko
gnss_allocate_device
gnss_deregister_device
gnss_insert_raw
gnss_put_device
gnss_register_device
of_property_read_variable_u32_array
__pm_runtime_idle
serdev_device_close
serdev_device_open
serdev_device_set_baudrate
serdev_device_set_flow_control
serdev_device_wait_until_sent
serdev_device_write
serdev_device_write_wakeup
# required by goldfish_address_space.ko
__ioremap
# required by goldfish_battery.ko
power_supply_changed
power_supply_get_drvdata
power_supply_register
power_supply_unregister
# required by goldfish_pipe.ko
get_user_pages_fast
set_page_dirty
tasklet_init
tasklet_kill
__tasklet_schedule
# required by goldfish_sync.ko
__close_fd
dma_fence_default_wait
dma_fence_free
# required by ledtrig-audio.ko
led_trigger_event
led_trigger_register_simple
led_trigger_unregister_simple
# required by lzo-rle.ko
lzorle1x_1_compress
# required by lzo.ko
lzo1x_1_compress
# required by mac80211_hwsim.ko
alloc_netdev_mqs
__cfg80211_alloc_event_skb
__cfg80211_alloc_reply_skb
__cfg80211_send_event_skb
cfg80211_vendor_cmd_reply
debugfs_attr_read
debugfs_attr_write
dev_alloc_name
device_bind_driver
dst_release
eth_mac_addr
genlmsg_put
genl_notify
genl_register_family
genl_unregister_family
hrtimer_cancel
hrtimer_forward
hrtimer_init
hrtimer_start_range_ns
ieee80211_alloc_hw_nm
ieee80211_beacon_cntdwn_is_complete
ieee80211_beacon_get_tim
ieee80211_csa_finish
ieee80211_free_hw
ieee80211_free_txskb
ieee80211_get_buffered_bc
ieee80211_get_tx_rates
ieee80211_iterate_active_interfaces_atomic
ieee80211_probereq_get
ieee80211_queue_delayed_work
ieee80211_radar_detected
ieee80211_ready_on_channel
ieee80211_register_hw
ieee80211_remain_on_channel_expired
ieee80211_rx_irqsafe
ieee80211_scan_completed
ieee80211_stop_tx_ba_cb_irqsafe
ieee80211_tx_status_irqsafe
ieee80211_unregister_hw
init_net
kstrndup
__netdev_alloc_skb
netif_rx
netlink_broadcast
netlink_register_notifier
netlink_unicast
netlink_unregister_notifier
net_namespace_list
nla_memcpy
__nla_parse
nla_put_64bit
nla_put
param_ops_ushort
register_pernet_device
regulatory_hint
rhashtable_destroy
rhashtable_init
rhashtable_insert_slow
__rht_bucket_nested
rht_bucket_nested
rht_bucket_nested_insert
schedule_timeout_interruptible
simple_attr_open
simple_attr_release
skb_copy
skb_copy_expand
skb_dequeue
__skb_ext_put
skb_push
skb_queue_tail
skb_trim
skb_unlink
unregister_pernet_device
wiphy_apply_custom_regulatory
# required by md-mod.ko
ack_all_badblocks
alloc_page_buffers
_atomic_dec_and_lock
autoremove_wake_function
badblocks_clear
badblocks_exit
badblocks_init
badblocks_set
badblocks_show
badblocks_store
bdev_check_media_change
bdevname
bdev_read_only
bd_link_disk_holder
bd_unlink_disk_holder
bio_add_page
bio_associate_blkg
bio_put
bioset_exit
bioset_init
blkdev_get_by_dev
blkdev_put
blk_finish_plug
blk_queue_split
blk_register_region
blk_set_stacking_limits
blk_start_plug
blk_sync_queue
blk_unregister_region
bmap
fget
file_path
flush_signals
flush_workqueue
free_buffer_head
int_pow
invalidate_bdev
invalidate_mapping_pages
kernel_sigaction
kernfs_find_and_get_ns
kernfs_notify
kernfs_put
kobject_del
kobject_get
kstrtobool
kstrtoll
kstrtouint
kthread_create_on_node
kthread_parkme
kthread_should_park
kthread_should_stop
kthread_stop
mempool_alloc
mempool_create
mempool_destroy
mempool_exit
mempool_free
mempool_init
mempool_kfree
mempool_kmalloc
mutex_trylock
part_end_io_acct
part_start_io_acct
percpu_ref_exit
percpu_ref_init
percpu_ref_is_zero
percpu_ref_switch_to_atomic_sync
percpu_ref_switch_to_percpu
proc_create
proc_dointvec
register_reboot_notifier
register_sysctl_table
remove_proc_entry
seq_file_path
skip_spaces
strreplace
submit_bh
submit_bio_wait
sync_blockdev
sysfs_create_link
unregister_reboot_notifier
unregister_sysctl_table
vfs_fsync
wake_up_process
# required by nd_virtio.ko
bio_chain
bio_clone_blkg_association
# required by net_failover.ko
call_netdevice_notifiers
dev_close
dev_get_stats
dev_mc_sync_multiple
dev_mc_unsync
dev_open
dev_set_mtu
dev_uc_sync_multiple
dev_uc_unsync
__ethtool_get_link_ksettings
netdev_change_features
netdev_increment_features
netdev_lower_state_changed
netdev_pick_tx
pci_bus_type
# required by pulse8-cec.ko
cec_allocate_adapter
cec_delete_adapter
cec_received_msg_ts
cec_register_adapter
cec_s_log_addrs
cec_s_phys_addr
cec_transmit_attempt_done_ts
cec_unregister_adapter
serio_close
serio_open
__serio_register_driver
serio_unregister_driver
strscpy
# required by rtc-test.ko
device_init_wakeup
devm_rtc_allocate_device
__rtc_register_device
rtc_time64_to_tm
rtc_tm_to_time64
rtc_update_irq
# required by snd-ac97-codec.ko
snd_ctl_remove_id
snd_info_create_card_entry
snd_info_free_entry
snd_interval_refine
snd_pcm_hw_rule_add
strcat
# required by snd-hda-codec-generic.ko
devm_led_classdev_register_ext
snd_ctl_boolean_stereo_info
strchr
strlcat
# required by snd-hda-codec.ko
bpf_trace_run4
current_work
device_attach
driver_register
driver_unregister
get_device_system_crosststamp
kvasprintf
ns_to_timespec64
pm_runtime_set_autosuspend_delay
__printk_ratelimit
regcache_mark_dirty
snd_ctl_add_vmaster_hook
snd_ctl_apply_vmaster_followers
snd_ctl_remove
snd_device_disconnect
snd_device_free
snd_jack_new
snd_jack_report
snd_jack_set_key
snd_pcm_hw_constraint_minmax
snd_pcm_hw_constraint_step
snd_pcm_lib_default_mmap
snd_pcm_set_sync
strncat
timecounter_read
# required by snd-hda-core.ko
add_uevent_var
device_add
device_del
kasprintf
kobject_create_and_add
pm_runtime_get_if_active
__pm_runtime_set_status
regcache_cache_only
regcache_sync
regmap_exit
__regmap_init
regmap_read
regmap_update_bits_base
regmap_write
snd_pcm_format_width
__sw_hweight64
timecounter_init
__udelay
# required by snd-hda-intel.ko
param_array_ops
param_get_int
param_set_int
pci_dev_put
pci_disable_msi
pci_enable_msi
pci_get_device
pci_intx
pci_ioremap_bar
pci_write_config_byte
pci_write_config_word
# required by snd-intel8x0.ko
snd_pcm_hw_constraint_list
snd_pcm_hw_constraint_msbits
# required by system_heap.ko
dma_heap_add
dma_heap_get_dev
dma_sync_sg_for_cpu
__sg_page_iter_next
__sg_page_iter_start
vmalloc
vmap
vunmap
# required by tpm.ko
alloc_chrdev_region
cdev_device_add
cdev_device_del
cdev_init
compat_only_sysfs_link_entry_to_kobj
efi
efi_tpm_final_log_size
hash_digest_size
idr_get_next
idr_replace
jiffies_to_usecs
memchr_inv
of_find_property
of_get_property
of_property_match_string
pm_suspend_global_flags
securityfs_create_dir
securityfs_create_file
securityfs_remove
seq_putc
seq_write
unregister_chrdev_region
__usecs_to_jiffies
# required by tpm_vtpm_proxy.ko
anon_inode_getfile
compat_ptr_ioctl
# required by virt_wifi.ko
cfg80211_connect_done
cfg80211_disconnected
cfg80211_scan_done
__dev_get_by_index
dev_printk
__module_get
netdev_upper_dev_link
netif_stacked_transfer_operstate
rtnl_link_register
rtnl_link_unregister
skb_clone
unregister_netdevice_many
wiphy_free
wiphy_new_nm
wiphy_register
wiphy_unregister
# required by virt_wifi_sim.ko
ieee80211_get_channel_khz
release_firmware
request_firmware
# required by virtio-gpu.ko
__devm_request_region
dma_fence_match_context
dma_fence_wait_timeout
dma_resv_add_excl_fence
dma_resv_test_signaled_rcu
dma_resv_wait_timeout_rcu
drm_add_edid_modes
drm_add_modes_noedid
drm_atomic_get_crtc_state
drm_atomic_helper_check
drm_atomic_helper_check_plane_state
drm_atomic_helper_commit
drm_atomic_helper_connector_destroy_state
drm_atomic_helper_connector_duplicate_state
drm_atomic_helper_connector_reset
drm_atomic_helper_crtc_destroy_state
drm_atomic_helper_crtc_duplicate_state
drm_atomic_helper_crtc_reset
drm_atomic_helper_damage_merged
drm_atomic_helper_dirtyfb
drm_atomic_helper_disable_plane
drm_atomic_helper_page_flip
drm_atomic_helper_plane_destroy_state
drm_atomic_helper_plane_duplicate_state
drm_atomic_helper_plane_reset
drm_atomic_helper_set_config
drm_atomic_helper_shutdown
drm_atomic_helper_update_plane
drm_compat_ioctl
drm_connector_attach_edid_property
drm_connector_attach_encoder
drm_connector_cleanup
drm_connector_init
drm_connector_register
drm_connector_unregister
drm_connector_update_edid_property
drm_crtc_cleanup
drm_crtc_init_with_planes
drm_cvt_mode
__drm_dbg
drm_debugfs_create_files
drm_dev_alloc
drm_dev_enter
drm_dev_exit
drm_dev_get
drm_dev_printk
drm_dev_put
drm_dev_register
drm_dev_set_unique
drm_dev_unplug
drm_do_get_edid
__drm_err
drm_framebuffer_init
drm_gem_create_mmap_offset
drm_gem_dmabuf_mmap
drm_gem_dmabuf_release
drm_gem_dmabuf_vmap
drm_gem_dmabuf_vunmap
drm_gem_fb_create_handle
drm_gem_fb_destroy
drm_gem_free_mmap_offset
drm_gem_handle_create
drm_gem_lock_reservations
drm_gem_map_attach
drm_gem_map_detach
drm_gem_map_dma_buf
drm_gem_mmap
drm_gem_object_free
drm_gem_object_lookup
drm_gem_object_release
drm_gem_prime_fd_to_handle
drm_gem_prime_handle_to_fd
drm_gem_prime_import
drm_gem_prime_mmap
drm_gem_private_object_init
drm_gem_shmem_create
drm_gem_shmem_free_object
drm_gem_shmem_get_sg_table
drm_gem_shmem_mmap
drm_gem_shmem_pin
drm_gem_shmem_print_info
drm_gem_shmem_unpin
drm_gem_shmem_vmap
drm_gem_shmem_vunmap
drm_gem_unlock_reservations
drm_gem_unmap_dma_buf
drm_gem_vm_close
drm_gem_vm_open
drm_helper_hpd_irq_event
drm_helper_mode_fill_fb_struct
drm_helper_probe_single_connector_modes
drm_ioctl
drm_kms_helper_hotplug_event
drm_mm_init
drm_mm_insert_node_in_range
drmm_mode_config_init
drm_mm_print
drm_mm_remove_node
drm_mm_takedown
drm_mode_config_reset
drm_mode_probed_add
drm_open
drm_plane_cleanup
drm_poll
__drm_printfn_seq_file
__drm_puts_seq_file
drm_read
drm_release
drm_set_preferred_mode
drm_simple_encoder_init
drm_universal_plane_init
__get_task_comm
iomem_resource
kmalloc_order_trace
memdup_user
seq_puts
sync_file_get_fence
__traceiter_dma_fence_emit
__tracepoint_dma_fence_emit
vmalloc_to_page
vmemdup_user
vm_get_page_prot
ww_mutex_lock_interruptible
ww_mutex_unlock
# required by virtio-rng.ko
wait_for_completion_killable
# required by virtio_blk.ko
blk_execute_rq
blk_get_request
blk_mq_alloc_tag_set
blk_mq_complete_request
blk_mq_end_request
blk_mq_free_tag_set
blk_mq_init_queue
blk_mq_quiesce_queue
blk_mq_start_request
blk_mq_start_stopped_hw_queues
blk_mq_stop_hw_queue
blk_mq_unquiesce_queue
blk_mq_virtio_map_queues
blk_put_request
blk_queue_alignment_offset
blk_queue_max_discard_segments
blk_queue_max_hw_sectors
blk_queue_max_segments
blk_queue_max_segment_size
blk_rq_map_kern
__blk_rq_map_sg
_dev_notice
set_capacity_revalidate_and_notify
string_get_size
virtio_max_dma_size
# required by virtio_console.ko
cdev_add
cdev_alloc
cdev_del
device_destroy
fasync_helper
freezing_slow_path
hvc_alloc
hvc_instantiate
hvc_kick
hvc_poll
hvc_remove
__hvc_resize
kill_fasync
nonseekable_open
pipe_lock
pipe_unlock
__refrigerator
__register_chrdev
__splice_from_pipe
system_freezing_cnt
__unregister_chrdev
# required by virtio_input.ko
input_alloc_absinfo
input_mt_init_slots
input_set_abs_params
# required by virtio_mmio.ko
device_for_each_child
devm_platform_ioremap_resource
platform_device_register_full
sscanf
# required by virtio_net.ko
bpf_dispatcher_xdp_func
bpf_prog_add
bpf_prog_put
bpf_prog_sub
bpf_stats_enabled_key
bpf_warn_invalid_xdp_action
build_skb
cpumask_next_wrap
cpus_read_lock
cpus_read_unlock
eth_commit_mac_addr_change
eth_prepare_mac_addr_change
ethtool_op_get_ts_info
ethtool_virtdev_set_link_ksettings
eth_type_trans
flow_keys_basic_dissector
__napi_alloc_skb
napi_complete_done
napi_consume_skb
napi_disable
napi_gro_receive
__napi_schedule
napi_schedule_prep
netdev_notify_peers
netdev_warn
netif_device_attach
netif_napi_add
__netif_napi_del
netif_schedule_queue
netif_set_real_num_rx_queues
netif_set_real_num_tx_queues
__netif_set_xps_queue
net_ratelimit
_raw_spin_trylock
sched_clock
skb_coalesce_rx_frag
__skb_flow_dissect
skb_page_frag_refill
skb_partial_csum_set
skb_to_sgvec
skb_tstamp_tx
softnet_data
synchronize_net
__traceiter_xdp_exception
__tracepoint_xdp_exception
virtqueue_add_inbuf_ctx
virtqueue_enable_cb_delayed
virtqueue_enable_cb_prepare
virtqueue_get_buf_ctx
virtqueue_poll
xdp_convert_zc_to_xdp_frame
xdp_do_flush
xdp_do_redirect
xdp_return_frame
xdp_return_frame_rx_napi
xdp_rxq_info_reg
xdp_rxq_info_reg_mem_model
xdp_rxq_info_unreg
xdp_warn
# required by virtio_pci.ko
irq_set_affinity_hint
pci_find_capability
pci_find_ext_capability
pci_find_next_capability
pci_iomap_range
pci_irq_get_affinity
pci_release_selected_regions
pci_request_selected_regions
virtio_device_freeze
virtio_device_restore
# required by virtio_pmem.ko
nvdimm_bus_register
nvdimm_bus_unregister
nvdimm_pmem_region_create
# required by virtiofs.ko
deactivate_locked_super
flush_delayed_work
__fs_parse
fuse_conn_destroy
fuse_conn_init
fuse_dequeue_forget
fuse_dev_alloc
fuse_dev_free
fuse_dev_install
fuse_fill_super_common
fuse_free_conn
fuse_get_unique
fuse_len_args
fuse_mount_get
fuse_mount_put
fuse_mount_remove
fuse_request_end
fuse_send_init
get_anon_bdev
init_user_ns
lockref_get
memchr
register_filesystem
sget_fc
unregister_filesystem
# required by vmw_vsock_virtio_transport.ko
lock_sock_nested
release_sock
virtio_transport_connect
virtio_transport_deliver_tap_pkt
virtio_transport_destruct
virtio_transport_dgram_allow
virtio_transport_dgram_bind
virtio_transport_dgram_dequeue
virtio_transport_dgram_enqueue
virtio_transport_do_socket_init
virtio_transport_free_pkt
virtio_transport_notify_buffer_size
virtio_transport_notify_poll_in
virtio_transport_notify_poll_out
virtio_transport_notify_recv_init
virtio_transport_notify_recv_post_dequeue
virtio_transport_notify_recv_pre_block
virtio_transport_notify_recv_pre_dequeue
virtio_transport_notify_send_init
virtio_transport_notify_send_post_enqueue
virtio_transport_notify_send_pre_block
virtio_transport_notify_send_pre_enqueue
virtio_transport_recv_pkt
virtio_transport_release
virtio_transport_shutdown
virtio_transport_stream_allow
virtio_transport_stream_dequeue
virtio_transport_stream_enqueue
virtio_transport_stream_has_data
virtio_transport_stream_has_space
virtio_transport_stream_is_active
virtio_transport_stream_rcvhiwat
vsock_core_register
vsock_core_unregister
vsock_for_each_connected_socket
# required by xhci-hcd.ko
cancel_delayed_work
debugfs_create_regset32
dev_driver_string
dma_map_page_attrs
dma_pool_alloc
dma_pool_create
dma_pool_destroy
dma_pool_free
dma_unmap_page_attrs
find_next_bit
kstrtou16_from_user
mod_delayed_work_on
param_ops_ullong
platform_device_add_properties
platform_device_add_resources
radix_tree_delete
radix_tree_insert
radix_tree_lookup
radix_tree_maybe_preload
sg_pcopy_from_buffer
sg_pcopy_to_buffer
trace_print_symbols_seq
usb_amd_dev_put
usb_amd_pt_check_port
usb_amd_quirk_pll_disable
usb_amd_quirk_pll_enable
usb_asmedia_modifyflowcontrol
usb_debug_root
usb_disable_xhci_ports
usb_hcd_end_port_resume
usb_hc_died
usb_hcd_irq
usb_hcd_map_urb_for_dma
usb_hcd_start_port_resume
usb_hub_clear_tt_buffer
usb_root_hub_lost_power
usb_wakeup_notification
vsnprintf
# required by xhci-pci.ko
__devm_reset_control_get
pci_d3cold_disable
pci_set_mwi
reset_control_reset
usb_amd_quirk_pll_check
usb_enable_intel_xhci_ports
usb_hcd_pci_pm_ops
usb_hcd_pci_probe
usb_hcd_pci_remove
usb_hcd_pci_shutdown
# required by zram.ko
__alloc_percpu
bdget_disk
bdput
__class_register
class_unregister
crypto_alloc_base
crypto_comp_compress
crypto_comp_decompress
crypto_destroy_tfm
crypto_has_alg
disk_end_io_acct
disk_start_io_acct
free_percpu
fsync_bdev
idr_find
idr_for_each
kstrtou16
memset64
mutex_is_locked
page_endio
sysfs_streq
vzalloc
# required by zsmalloc.ko
alloc_anon_inode
__ClearPageMovable
contig_page_data
dec_zone_page_state
inc_zone_page_state
init_pseudo
iput
kern_mount
kern_unmount
__lock_page
page_mapping
_raw_read_lock
_raw_read_unlock
_raw_write_lock
_raw_write_unlock
register_shrinker
__SetPageMovable
unregister_shrinker