blob: 8a141d7962bdaeca0ce20121ce32a18930a3953b [file] [log] [blame]
[abi_symbol_list]
add_timer
add_wait_queue
alloc_anon_inode
alloc_chrdev_region
alloc_etherdev_mqs
alloc_netdev_mqs
__alloc_pages
__alloc_percpu
__alloc_skb
alloc_workqueue
amba_driver_register
amba_driver_unregister
android_debug_for_each_module
android_debug_symbol
android_kmalloc_64_create
anon_inode_getfd
anon_inode_getfile
__arch_clear_user
__arch_copy_from_user
__arch_copy_to_user
arch_timer_read_counter
arm64_const_caps_ready
arm64_use_ng_mappings
__arm_smccc_smc
atomic_notifier_call_chain
atomic_notifier_chain_register
atomic_notifier_chain_unregister
autoremove_wake_function
backlight_device_register
backlight_device_unregister
bio_endio
bio_end_io_acct_remapped
bio_start_io_acct
__bitmap_and
__bitmap_andnot
__bitmap_clear
__bitmap_complement
bitmap_find_free_region
bitmap_free
bitmap_parselist
bitmap_print_to_pagebuf
bitmap_release_region
__bitmap_set
__bitmap_weight
__bitmap_xor
bitmap_zalloc
__blk_alloc_disk
blk_cleanup_disk
blk_execute_rq
blk_get_request
blk_ksm_init_passthrough
__blk_mq_alloc_disk
blk_mq_alloc_tag_set
blk_mq_complete_request
blk_mq_end_request
blk_mq_free_tag_set
blk_mq_quiesce_queue
blk_mq_start_request
blk_mq_start_stopped_hw_queues
blk_mq_stop_hw_queue
blk_mq_unquiesce_queue
blk_mq_virtio_map_queues
blk_put_request
blk_queue_alignment_offset
blk_queue_flag_clear
blk_queue_flag_set
blk_queue_io_min
blk_queue_io_opt
blk_queue_logical_block_size
blk_queue_max_discard_sectors
blk_queue_max_discard_segments
blk_queue_max_hw_sectors
blk_queue_max_segments
blk_queue_max_segment_size
blk_queue_max_write_zeroes_sectors
blk_queue_physical_block_size
blk_queue_write_cache
blk_rq_map_kern
__blk_rq_map_sg
blk_status_to_errno
blocking_notifier_call_chain
blocking_notifier_chain_register
blocking_notifier_chain_unregister
bpf_dispatcher_xdp_func
bpf_master_redirect_enabled_key
bpf_prog_add
bpf_prog_put
bpf_prog_sub
bpf_stats_enabled_key
bpf_trace_run1
bpf_trace_run2
bpf_trace_run3
bpf_trace_run4
bpf_trace_run5
bpf_trace_run6
bpf_trace_run9
bpf_warn_invalid_xdp_action
build_skb
bus_find_device
bus_register_notifier
bus_set_iommu
bus_unregister_notifier
cache_line_size
call_netdevice_notifiers
call_rcu
cancel_delayed_work
cancel_delayed_work_sync
cancel_work_sync
capable
cdev_add
cdev_alloc
cdev_del
cdev_device_add
cdev_device_del
cdev_init
cec_allocate_adapter
cec_delete_adapter
cec_received_msg_ts
cec_register_adapter
cec_s_phys_addr
cec_s_phys_addr_from_edid
cec_transmit_attempt_done_ts
cec_transmit_done_ts
cec_unregister_adapter
__cfi_slowpath_diag
__check_object_size
__class_create
class_destroy
__class_register
class_unregister
clear_page
__ClearPageMovable
clk_disable
clk_enable
clk_gate_ops
clk_get
__clk_get_hw
__clk_get_name
clk_get_rate
clk_hw_get_parent
clk_hw_register_composite
clk_hw_unregister
__clk_is_enabled
clk_mux_ops
clk_prepare
clk_put
clk_register
clk_register_clkdev
clk_register_fixed_factor
clk_register_fixed_rate
clk_set_parent
clk_set_rate
clk_unprepare
clk_unregister
cma_alloc
cma_release
complete
complete_all
complete_and_exit
component_add
component_bind_all
component_del
component_master_add_with_match
component_master_del
component_unbind_all
console_trylock
console_unlock
__const_udelay
consume_skb
contig_page_data
copy_from_user_nofault
__cpu_active_mask
cpu_bit_bitmap
cpufreq_cpu_get
cpufreq_cpu_get_raw
cpufreq_cpu_put
__cpufreq_driver_target
cpufreq_freq_transition_begin
cpufreq_freq_transition_end
cpufreq_frequency_table_verify
cpufreq_generic_attr
cpufreq_register_driver
cpufreq_table_index_unsorted
__cpuhp_remove_state
__cpuhp_setup_state
__cpuhp_setup_state_cpuslocked
__cpuhp_state_add_instance
__cpuhp_state_remove_instance
cpuhp_tasks_frozen
cpu_hwcap_keys
cpu_hwcaps
cpumask_next
cpumask_next_wrap
cpu_number
__cpu_online_mask
cpu_pm_register_notifier
cpu_pm_unregister_notifier
__cpu_possible_mask
__cpu_present_mask
cpus_read_lock
cpus_read_unlock
crc32_le
crypto_alloc_base
crypto_alloc_shash
crypto_comp_compress
crypto_comp_decompress
crypto_destroy_tfm
crypto_has_alg
__crypto_memneq
crypto_shash_final
crypto_shash_update
_ctype
current_work
debugfs_attr_read
debugfs_attr_write
debugfs_create_blob
debugfs_create_bool
debugfs_create_dir
debugfs_create_file
debugfs_create_file_size
debugfs_create_u32
debugfs_create_x32
debugfs_lookup
debugfs_remove
debugfs_rename
dec_zone_page_state
default_llseek
default_wake_function
deferred_free
delayed_work_timer_fn
del_gendisk
del_timer
del_timer_sync
destroy_workqueue
dev_close
_dev_crit
dev_driver_string
_dev_emerg
_dev_err
dev_err_probe
devfreq_add_device
devfreq_add_governor
devfreq_monitor_resume
devfreq_monitor_start
devfreq_monitor_stop
devfreq_monitor_suspend
devfreq_recommended_opp
devfreq_remove_device
devfreq_remove_governor
devfreq_update_interval
dev_fwnode
dev_get_stats
device_add_disk
device_create
device_create_file
device_create_managed_software_node
device_destroy
device_for_each_child
device_get_dma_attr
device_get_match_data
device_get_phy_mode
device_initialize
device_init_wakeup
device_link_add
device_link_del
device_property_present
device_property_read_u32_array
device_property_read_u8_array
device_register
device_remove_file
device_rename
device_set_wakeup_capable
device_set_wakeup_enable
device_unregister
_dev_info
__dev_kfree_skb_any
devm_add_action
devm_alloc_etherdev_mqs
devm_clk_get
devm_clk_get_optional
devm_clk_put
dev_mc_sync_multiple
dev_mc_unsync
devm_devfreq_register_notifier
devm_devfreq_unregister_notifier
__devm_drm_dev_alloc
devm_free_irq
devm_gen_pool_create
devm_get_free_pages
devm_gpiochip_add_data_with_key
devm_gpiod_get
devm_gpiod_get_optional
devm_gpio_request_one
devm_hwrng_register
devm_i2c_new_dummy_device
devm_ioremap
devm_ioremap_resource
devm_iounmap
__devm_irq_alloc_descs
devm_kasprintf
devm_kfree
devm_kmalloc
devm_kmemdup
devm_kstrdup
devm_mfd_add_devices
__devm_of_phy_provider_register
devm_pci_alloc_host_bridge
devm_phy_create
devm_phy_get
devm_pinctrl_get
devm_pinctrl_register
devm_platform_ioremap_resource
devm_platform_ioremap_resource_byname
__devm_regmap_init
__devm_regmap_init_i2c
__devm_regmap_init_mmio_clk
devm_regulator_bulk_get
devm_regulator_get
devm_regulator_register
__devm_request_region
devm_request_threaded_irq
__devm_reset_control_get
devm_rtc_device_register
devm_snd_dmaengine_pcm_register
devm_snd_soc_register_card
devm_snd_soc_register_component
devm_thermal_zone_of_sensor_register
_dev_notice
dev_open
dev_pm_opp_add
dev_pm_opp_find_freq_ceil
dev_pm_opp_get_voltage
dev_pm_opp_of_add_table
dev_pm_opp_of_remove_table
dev_pm_opp_put
dev_pm_opp_put_regulators
dev_pm_opp_set_regulators
dev_pm_qos_add_request
dev_pm_qos_read_value
dev_pm_qos_remove_request
dev_pm_qos_update_request
_dev_printk
dev_queue_xmit
devres_add
__devres_alloc_node
devres_free
devres_release
dev_set_mtu
dev_set_name
dev_uc_sync_multiple
dev_uc_unsync
_dev_warn
disable_irq
disable_irq_nosync
disk_end_io_acct
disk_start_io_acct
display_timings_release
dma_alloc_attrs
dma_async_device_register
dma_async_device_unregister
dma_async_tx_descriptor_init
dma_buf_attach
dma_buf_begin_cpu_access
dma_buf_detach
dma_buf_dynamic_attach
dma_buf_end_cpu_access
dma_buf_export
dma_buf_fd
dma_buf_get
dma_buf_get_flags
dma_buf_map_attachment
dma_buf_mmap
dma_buf_move_notify
dmabuf_page_pool_alloc
dmabuf_page_pool_create
dmabuf_page_pool_destroy
dmabuf_page_pool_free
dma_buf_pin
dma_buf_put
dma_buf_unmap_attachment
dma_buf_unpin
dma_buf_vmap
dma_buf_vunmap
dmaengine_get_unmap_data
dmaengine_unmap_put
dma_fence_add_callback
dma_fence_array_create
dma_fence_chain_init
dma_fence_chain_ops
dma_fence_chain_walk
dma_fence_context_alloc
dma_fence_default_wait
dma_fence_enable_sw_signaling
dma_fence_get_status
dma_fence_get_stub
dma_fence_init
dma_fence_release
dma_fence_remove_callback
dma_fence_signal
dma_fence_signal_locked
dma_fence_wait_any_timeout
dma_fence_wait_timeout
dma_free_attrs
dma_get_required_mask
dma_get_slave_caps
dma_get_slave_channel
dma_heap_add
dma_heap_buffer_alloc
dma_heap_buffer_free
dma_heap_find
dma_heap_get_dev
dma_heap_get_drvdata
dma_heap_get_name
dma_heap_put
dmam_alloc_attrs
dma_map_page_attrs
dma_map_resource
dma_map_sg_attrs
dma_map_sgtable
dma_mmap_attrs
dma_release_channel
dma_request_chan
__dma_request_channel
dma_resv_add_excl_fence
dma_resv_add_shared_fence
dma_resv_get_fences
dma_resv_reserve_shared
dma_resv_test_signaled
dma_resv_wait_timeout
dma_set_coherent_mask
dma_set_mask
dma_sync_sg_for_cpu
dma_sync_sg_for_device
dma_sync_single_for_cpu
dma_sync_single_for_device
dma_sync_wait
dma_unmap_page_attrs
dma_unmap_resource
dma_unmap_sg_attrs
do_SAK
do_trace_netlink_extack
do_wait_intr
down
downgrade_write
down_interruptible
down_read
down_read_killable
down_read_trylock
down_trylock
down_write
down_write_trylock
d_path
dql_completed
dql_reset
drain_workqueue
driver_unregister
drm_add_edid_modes
drm_add_modes_noedid
drm_any_plane_has_format
drm_aperture_remove_conflicting_pci_framebuffers
drm_atomic_bridge_chain_enable
drm_atomic_bridge_chain_pre_enable
drm_atomic_get_crtc_state
drm_atomic_helper_check
drm_atomic_helper_check_plane_state
drm_atomic_helper_cleanup_planes
drm_atomic_helper_commit
drm_atomic_helper_commit_modeset_disables
drm_atomic_helper_commit_planes
__drm_atomic_helper_connector_destroy_state
drm_atomic_helper_connector_destroy_state
__drm_atomic_helper_connector_duplicate_state
drm_atomic_helper_connector_duplicate_state
drm_atomic_helper_connector_reset
drm_atomic_helper_crtc_destroy_state
drm_atomic_helper_crtc_duplicate_state
drm_atomic_helper_crtc_reset
drm_atomic_helper_disable_plane
drm_atomic_helper_disable_planes_on_crtc
drm_atomic_helper_fake_vblank
drm_atomic_helper_page_flip
__drm_atomic_helper_plane_destroy_state
drm_atomic_helper_plane_destroy_state
__drm_atomic_helper_plane_duplicate_state
drm_atomic_helper_plane_duplicate_state
__drm_atomic_helper_plane_reset
drm_atomic_helper_plane_reset
drm_atomic_helper_set_config
drm_atomic_helper_shutdown
drm_atomic_helper_update_plane
drm_atomic_helper_wait_for_vblanks
drm_bridge_add
drm_bridge_attach
drm_bridge_hpd_notify
drm_bridge_remove
drm_calc_timestamping_constants
drm_compat_ioctl
drm_connector_attach_dp_subconnector_property
drm_connector_attach_encoder
drm_connector_cleanup
drm_connector_init
drm_connector_init_with_ddc
drm_connector_list_iter_begin
drm_connector_list_iter_end
drm_connector_list_iter_next
drm_connector_register
drm_connector_set_path_property
drm_connector_unregister
drm_connector_update_edid_property
drm_crtc_arm_vblank_event
drm_crtc_cleanup
__drm_crtc_commit_free
drm_crtc_handle_vblank
drm_crtc_helper_set_config
drm_crtc_helper_set_mode
drm_crtc_init
drm_crtc_init_with_planes
drm_crtc_send_vblank_event
drm_crtc_vblank_count
drm_crtc_vblank_get
drm_crtc_vblank_helper_get_vblank_timestamp
drm_crtc_vblank_off
drm_crtc_vblank_on
drm_crtc_vblank_put
drm_crtc_vblank_waitqueue
drm_cvt_mode
__drm_dbg
drm_debugfs_create_files
drm_detect_hdmi_monitor
drm_dev_alloc
drm_dev_dbg
drm_dev_enter
drm_dev_exit
drm_dev_printk
drm_dev_put
drm_dev_register
drm_dev_unplug
drm_dev_unregister
drm_display_mode_from_videomode
drm_display_mode_to_videomode
drm_do_get_edid
drm_dp_aux_init
drm_dp_aux_register
drm_dp_aux_unregister
drm_dp_bw_code_to_link_rate
drm_dp_calc_pbn_mode
drm_dp_channel_eq_ok
drm_dp_check_act_status
drm_dp_clock_recovery_ok
drm_dp_downstream_debug
drm_dp_dpcd_read
drm_dp_dpcd_read_link_status
drm_dp_dpcd_write
drm_dp_dsc_sink_line_buf_depth
drm_dp_dsc_sink_max_slice_count
drm_dp_find_vcpi_slots
drm_dp_get_adjust_request_pre_emphasis
drm_dp_get_adjust_request_voltage
drm_dp_link_rate_to_bw_code
drm_dp_link_train_channel_eq_delay
drm_dp_link_train_clock_recovery_delay
drm_dp_mst_allocate_vcpi
drm_dp_mst_deallocate_vcpi
drm_dp_mst_detect_port
drm_dp_mst_hpd_irq
drm_dp_mst_reset_vcpi_slots
drm_dp_mst_topology_mgr_init
drm_dp_mst_topology_mgr_set_mst
drm_dp_set_subconnector_property
drm_dp_update_payload_part1
drm_dp_update_payload_part2
drm_dsc_compute_rc_parameters
drm_dsc_pps_payload_pack
drm_edid_header_is_valid
drm_edid_is_valid
drm_edid_to_sad
drm_edid_to_speaker_allocation
drm_encoder_cleanup
drm_encoder_init
__drm_err
drm_flip_work_cleanup
drm_flip_work_commit
drm_flip_work_init
drm_flip_work_queue
drm_format_info
drm_framebuffer_cleanup
drm_framebuffer_init
drm_framebuffer_unregister_private
drm_gem_create_mmap_offset
drm_gem_dmabuf_mmap
drm_gem_dmabuf_release
drm_gem_dmabuf_vmap
drm_gem_dmabuf_vunmap
drm_gem_fb_create_handle
drm_gem_fb_destroy
drm_gem_handle_create
drm_gem_mmap
drm_gem_object_free
drm_gem_object_lookup
drm_gem_object_release
drm_gem_prime_export
drm_gem_prime_fd_to_handle
drm_gem_prime_handle_to_fd
drm_gem_prime_mmap
drm_gem_private_object_init
drm_gem_vm_close
drm_gem_vm_open
drm_get_connector_status_name
drm_get_edid
drm_get_format_info
drm_handle_vblank
drm_hdmi_avi_infoframe_from_display_mode
drm_helper_connector_dpms
drm_helper_disable_unused_functions
drm_helper_force_disable_all
drm_helper_hpd_irq_event
drm_helper_mode_fill_fb_struct
drm_helper_probe_detect
drm_helper_probe_single_connector_modes
drm_helper_resume_force_mode
drm_ioctl
drm_is_current_master
drm_kms_helper_hotplug_event
drm_kms_helper_is_poll_worker
drm_kms_helper_poll_disable
drm_kms_helper_poll_enable
drm_kms_helper_poll_fini
drm_kms_helper_poll_init
drm_mm_init
drm_mm_insert_node_in_range
drmm_mode_config_init
drm_mm_print
drm_mm_remove_node
drm_mm_reserve_node
drm_mm_takedown
drm_mode_config_cleanup
drm_mode_config_helper_resume
drm_mode_config_helper_suspend
drm_mode_config_reset
drm_mode_copy
drm_mode_create
drm_mode_create_scaling_mode_property
drm_mode_crtc_set_gamma_size
drm_mode_duplicate
drm_mode_object_get
drm_mode_object_put
drm_mode_probed_add
drm_mode_set_crtcinfo
drm_modeset_lock
drm_modeset_lock_all
drm_mode_set_name
drm_modeset_unlock
drm_modeset_unlock_all
drm_mode_vrefresh
drm_object_attach_property
drm_of_component_match_add
drm_of_crtc_port_mask
drm_of_find_possible_crtcs
drm_open
drm_panel_add
drm_panel_disable
drm_panel_enable
drm_panel_get_modes
drm_panel_init
drm_panel_prepare
drm_panel_remove
drm_panel_unprepare
drm_plane_cleanup
drm_plane_create_alpha_property
drm_plane_create_blend_mode_property
drm_plane_create_zpos_immutable_property
drm_plane_create_zpos_property
drm_poll
drm_prime_gem_destroy
drm_prime_pages_to_sg
drm_prime_sg_to_dma_addr_array
drm_print_bits
drm_printf
__drm_printfn_info
__drm_printfn_seq_file
drm_property_create_enum
drm_property_create_range
__drm_puts_seq_file
drm_read
drm_release
drm_rotation_simplify
drm_set_preferred_mode
drm_simple_encoder_init
drm_state_dump
drm_syncobj_add_point
drm_syncobj_create
drm_syncobj_find
drm_syncobj_find_fence
drm_syncobj_free
drm_syncobj_get_fd
drm_syncobj_get_handle
drm_syncobj_replace_fence
drm_universal_plane_init
drm_vblank_init
drm_writeback_connector_init
drm_writeback_queue_job
drm_writeback_signal_completion
dump_backtrace
dump_stack
dw_pcie_ep_init
dw_pcie_host_init
dw_pcie_own_conf_map_bus
dw_pcie_read
dw_pcie_read_dbi
dw_pcie_setup_rc
dw_pcie_write
dw_pcie_write_dbi
enable_irq
eth_commit_mac_addr_change
ether_setup
eth_mac_addr
ethnl_cable_test_amplitude
ethnl_cable_test_fault_length
ethnl_cable_test_pulse
ethnl_cable_test_result
ethnl_cable_test_step
eth_prepare_mac_addr_change
ethtool_convert_legacy_u32_to_link_mode
ethtool_convert_link_mode_to_legacy_u32
__ethtool_get_link_ksettings
ethtool_op_get_link
ethtool_op_get_ts_info
ethtool_sprintf
ethtool_virtdev_set_link_ksettings
eth_type_trans
eth_validate_addr
event_triggers_call
fasync_helper
__fdget
fd_install
_find_first_bit
_find_first_zero_bit
find_get_pid
_find_next_bit
__find_vma
finish_wait
flow_block_cb_setup_simple
flow_keys_basic_dissector
flow_rule_match_basic
flow_rule_match_ipv4_addrs
flow_rule_match_ports
flow_rule_match_vlan
flush_dcache_page
flush_delayed_work
flush_work
flush_workqueue
fput
frame_vector_create
frame_vector_destroy
frame_vector_to_pages
free_irq
free_netdev
__free_pages
free_pages
free_percpu
freezing_slow_path
freq_qos_add_request
freq_qos_update_request
fsync_bdev
gcd
generic_file_llseek
generic_handle_domain_irq
generic_handle_irq
genphy_c45_an_config_aneg
genphy_c45_aneg_done
genphy_c45_check_and_restart_aneg
genphy_c45_loopback
genphy_c45_pma_read_abilities
genphy_c45_pma_setup_forced
genphy_c45_read_link
genphy_c45_read_lpa
genphy_check_and_restart_aneg
__genphy_config_aneg
genphy_handle_interrupt_no_ack
genphy_read_abilities
genphy_read_lpa
genphy_read_mmd_unsupported
genphy_read_status
genphy_read_status_fixed
genphy_resume
genphy_setup_forced
genphy_soft_reset
genphy_suspend
genphy_update_link
genphy_write_mmd_unsupported
gen_pool_add_owner
gen_pool_alloc_algo_owner
gen_pool_avail
gen_pool_create
gen_pool_destroy
gen_pool_first_fit_align
gen_pool_free_owner
gen_pool_size
get_cpu_device
get_device
__get_free_pages
get_random_bytes
get_random_u32
__get_task_comm
get_task_mm
get_task_pid
get_unused_fd_flags
get_user_pages
get_user_pages_fast
get_vaddr_frames
get_zeroed_page
gic_nonsecure_priorities
gpiochip_generic_free
gpiochip_generic_request
gpiochip_get_data
gpiochip_lock_as_irq
gpiochip_unlock_as_irq
gpiod_direction_input
gpiod_direction_output_raw
gpiod_get_raw_value
gpiod_set_raw_value
gpiod_set_raw_value_cansleep
gpiod_set_value_cansleep
gpiod_to_irq
gpio_free
gpio_request
gpio_request_one
gpio_to_desc
handle_edge_irq
handle_level_irq
handle_nested_irq
handle_simple_irq
handle_sysrq
hdmi_audio_infoframe_init
hdmi_avi_infoframe_init
hdmi_avi_infoframe_pack
hex_dump_to_buffer
hrtimer_active
hrtimer_cancel
hrtimer_forward
hrtimer_init
hrtimer_start_range_ns
hrtimer_try_to_cancel
hvc_alloc
hvc_instantiate
hvc_kick
hvc_poll
hvc_remove
__hvc_resize
hwrng_register
hwrng_unregister
i2c_adapter_type
i2c_add_adapter
i2c_add_numbered_adapter
i2c_bit_add_bus
i2c_bus_type
i2c_del_adapter
i2c_del_driver
i2c_for_each_dev
i2c_get_adapter
i2c_new_ancillary_device
i2c_new_client_device
i2c_put_adapter
i2c_register_driver
i2c_smbus_xfer
i2c_transfer
i2c_transfer_buffer_flags
i2c_unregister_device
i2c_verify_client
i3c_generic_ibi_alloc_pool
i3c_generic_ibi_free_pool
i3c_generic_ibi_get_free_slot
i3c_generic_ibi_recycle_slot
i3c_master_add_i3c_dev_locked
i3c_master_defslvs_locked
i3c_master_disec_locked
i3c_master_do_daa
i3c_master_enec_locked
i3c_master_entdaa_locked
i3c_master_get_free_addr
i3c_master_queue_ibi
i3c_master_register
i3c_master_set_info
i3c_master_unregister
ida_alloc_range
ida_destroy
ida_free
idr_alloc
idr_alloc_cyclic
idr_destroy
idr_find
idr_for_each
idr_get_next
idr_remove
idr_replace
in4_pton
in6_pton
inc_zone_page_state
init_pseudo
__init_rwsem
__init_swait_queue_head
init_task
init_timer_key
init_wait_entry
__init_waitqueue_head
input_alloc_absinfo
input_allocate_device
input_close_device
input_event
input_free_device
input_mt_init_slots
input_open_device
input_register_device
input_register_handle
input_register_handler
input_set_abs_params
input_unregister_device
input_unregister_handle
int_pow
iomem_resource
iommu_alloc_resv_region
iommu_device_register
iommu_device_sysfs_add
iommu_device_sysfs_remove
iommu_device_unlink
iommu_device_unregister
iommu_fwspec_add_ids
iommu_fwspec_free
iommu_get_dma_cookie
iommu_get_domain_for_dev
iommu_group_alloc
iommu_group_for_each_dev
iommu_group_get
iommu_group_get_iommudata
iommu_group_remove_device
iommu_group_set_iommudata
iommu_group_set_name
iommu_iova_to_phys
iommu_map
iommu_map_sg
iommu_put_dma_cookie
iommu_register_device_fault_handler
iommu_report_device_fault
iommu_unmap
iommu_unregister_device_fault_handler
__ioremap
ioremap_cache
iounmap
iput
__irq_alloc_descs
irq_chip_ack_parent
irq_chip_mask_parent
irq_chip_unmask_parent
irq_create_mapping_affinity
irq_create_of_mapping
irq_dispose_mapping
__irq_domain_add
irq_domain_get_irq_data
irq_domain_remove
irq_domain_set_info
irq_domain_update_bus_token
irq_domain_xlate_twocell
irq_find_matching_fwspec
irq_get_irq_data
irq_modify_status
irq_of_parse_and_map
__irq_resolve_mapping
irq_set_affinity_hint
irq_set_chained_handler_and_data
irq_set_chip_and_handler_name
irq_set_chip_data
irq_set_irq_wake
irq_to_desc
is_dma_buf_file
is_vmalloc_addr
iterate_fd
jiffies64_to_msecs
jiffies
jiffies_to_msecs
jiffies_to_usecs
kasan_flag_enabled
kasprintf
kernel_kobj
kernel_neon_begin
kernel_neon_end
kern_mount
kern_unmount
__kfifo_in
__kfifo_out
kfree
kfree_const
kill_anon_super
kill_fasync
kimage_voffset
__kmalloc
kmalloc_caches
kmalloc_order_trace
kmem_cache_alloc
kmem_cache_alloc_trace
kmem_cache_create
kmem_cache_destroy
kmem_cache_free
kmemdup
kmsg_dump_get_line
kmsg_dump_rewind
kobject_create_and_add
kobject_del
kobject_get
kobject_init_and_add
kobject_put
kobject_uevent
krealloc
kset_create_and_add
kset_unregister
kstat
kstrdup
kstrdup_const
kstrndup
kstrtobool
kstrtobool_from_user
kstrtoint
kstrtoint_from_user
kstrtol_from_user
kstrtoll
kstrtou16
kstrtou8
kstrtouint
kstrtouint_from_user
kstrtoull
kthread_create_on_node
kthread_flush_work
kthread_flush_worker
__kthread_init_worker
kthread_park
kthread_parkme
kthread_queue_work
kthread_should_park
kthread_should_stop
kthread_stop
kthread_unpark
kthread_worker_fn
ktime_get
ktime_get_mono_fast_ns
ktime_get_raw
ktime_get_raw_ts64
ktime_get_real_seconds
ktime_get_real_ts64
ktime_get_ts64
ktime_get_with_offset
kvfree
kvfree_call_rcu
kvmalloc_node
__list_add_valid
__list_del_entry_valid
__local_bh_enable_ip
__lock_page
log_post_read_mmio
log_post_write_mmio
log_read_mmio
log_write_mmio
loops_per_jiffy
mdiobus_alloc_size
mdiobus_free
mdiobus_get_phy
__mdiobus_read
mdiobus_read
__mdiobus_register
mdiobus_unregister
__mdiobus_write
mdiobus_write
mdio_device_create
mdio_device_free
memchr
memcpy
__memcpy_fromio
__memcpy_toio
memdup_user
mem_encrypt_active
memmove
memparse
mem_section
memset64
memset
__memset_io
memstart_addr
mfd_add_devices
mfd_remove_devices
mipi_dsi_attach
mipi_dsi_detach
mipi_dsi_device_register_full
mipi_dsi_device_unregister
mipi_dsi_driver_register_full
mipi_dsi_driver_unregister
mipi_dsi_host_register
mipi_dsi_host_unregister
misc_deregister
misc_register
__mmdrop
mmput
mmu_notifier_synchronize
mod_delayed_work_on
mod_timer
module_layout
module_put
__msecs_to_jiffies
msleep
msleep_interruptible
__mutex_init
mutex_is_locked
mutex_lock
mutex_lock_interruptible
mutex_trylock
mutex_unlock
__napi_alloc_skb
napi_complete_done
napi_consume_skb
napi_disable
napi_enable
napi_gro_receive
__napi_schedule
__napi_schedule_irqoff
napi_schedule_prep
netdev_alert
__netdev_alloc_skb
netdev_change_features
netdev_err
netdev_increment_features
netdev_info
netdev_lower_state_changed
netdev_master_upper_dev_link
netdev_notify_peers
netdev_pick_tx
netdev_rss_key_fill
netdev_rx_handler_register
netdev_rx_handler_unregister
netdev_update_features
netdev_upper_dev_unlink
netdev_warn
netif_carrier_off
netif_carrier_on
netif_device_attach
netif_device_detach
netif_napi_add
__netif_napi_del
netif_receive_skb
netif_rx
netif_schedule_queue
netif_set_real_num_rx_queues
netif_set_real_num_tx_queues
__netif_set_xps_queue
netif_tx_stop_all_queues
netif_tx_wake_queue
net_ratelimit
nf_conntrack_destroy
no_llseek
nonseekable_open
noop_llseek
nr_cpu_ids
nr_irqs
nsecs_to_jiffies
ns_to_timespec64
__num_online_cpus
of_address_to_resource
of_alias_get_id
of_clk_add_hw_provider
of_clk_add_provider
of_clk_del_provider
of_clk_get
of_clk_get_by_name
of_clk_hw_onecell_get
of_clk_src_onecell_get
of_count_phandle_with_args
of_device_get_match_data
of_device_is_available
of_device_is_compatible
of_dma_controller_free
of_dma_controller_register
of_dma_is_coherent
of_drm_find_bridge
of_drm_find_panel
of_find_compatible_node
of_find_device_by_node
of_find_matching_node_and_match
of_find_mipi_dsi_host_by_node
of_find_node_by_name
of_find_node_opts_by_path
of_find_node_with_property
of_find_property
of_genpd_add_provider_simple
of_get_child_by_name
of_get_display_timings
of_get_mac_address
of_get_named_gpio_flags
of_get_next_child
of_get_phy_mode
of_get_property
of_get_regulator_init_data
of_graph_get_endpoint_by_regs
of_graph_get_endpoint_count
of_graph_get_next_endpoint
of_graph_get_port_by_id
of_graph_get_remote_node
of_graph_get_remote_port
of_graph_get_remote_port_parent
of_graph_parse_endpoint
of_iomap
of_irq_find_parent
of_irq_parse_one
of_machine_is_compatible
of_match_device
of_match_node
of_mdiobus_register
of_n_addr_cells
of_node_name_eq
of_n_size_cells
of_parse_phandle
of_phandle_iterator_init
of_phandle_iterator_next
of_phy_deregister_fixed_link
of_phy_is_fixed_link
of_phy_register_fixed_link
of_platform_populate
of_property_count_elems_of_size
of_property_read_string
of_property_read_string_helper
of_property_read_u32_index
of_property_read_variable_u16_array
of_property_read_variable_u32_array
of_property_read_variable_u8_array
of_prop_next_string
of_prop_next_u32
of_reserved_mem_device_init_by_idx
of_reserved_mem_device_release
of_reserved_mem_lookup
of_root
of_thermal_get_ntrips
of_thermal_is_trip_valid
oops_in_progress
page_endio
page_mapping
page_pool_alloc_pages
page_pool_create
page_pool_destroy
page_pool_put_page
page_pool_release_page
panic
panic_notifier_list
param_array_ops
param_get_bool
param_get_string
param_ops_bint
param_ops_bool
param_ops_byte
param_ops_charp
param_ops_hexint
param_ops_int
param_ops_long
param_ops_string
param_ops_uint
param_set_bool
param_set_copystring
passthru_features_check
pci_alloc_irq_vectors_affinity
pci_assign_unassigned_bus_resources
pci_bus_resource_n
pci_bus_type
pci_clear_master
pci_device_is_present
pci_disable_device
pci_disable_sriov
pcie_get_mps
pci_enable_atomic_ops_to_root
pci_enable_device
pci_enable_pcie_error_reporting
pci_enable_sriov
pci_epc_map_addr
pci_epc_mem_alloc_addr
pci_epc_mem_free_addr
pci_epc_set_bar
pci_epc_unmap_addr
pcie_set_mps
pci_find_bus
pci_find_capability
pci_find_ext_capability
pci_find_next_capability
pci_free_irq_vectors
pci_generic_config_read
pci_generic_config_write
pci_get_device
pci_host_probe
pci_iomap
pci_iomap_range
pci_iounmap
pci_irq_get_affinity
pci_irq_vector
pci_load_saved_state
pci_lock_rescan_remove
pci_map_rom
pci_msi_create_irq_domain
pci_msi_mask_irq
pci_msi_unmask_irq
pci_msix_vec_count
pci_num_vf
pci_read_config_byte
pci_read_config_dword
pci_read_config_word
pci_rebar_get_possible_sizes
__pci_register_driver
pci_release_region
pci_release_resource
pci_release_selected_regions
pci_remove_root_bus
pci_request_region
pci_request_selected_regions
pci_rescan_bus
pci_reset_function
pci_resize_resource
pci_restore_state
pci_save_state
pci_set_master
pci_stop_root_bus
pci_store_saved_state
pci_unlock_rescan_remove
pci_unmap_rom
pci_unregister_driver
pci_vfs_assigned
pci_wait_for_pending_transaction
pci_write_config_dword
pci_write_config_word
PDE_DATA
__per_cpu_offset
perf_event_update_userpage
perf_pmu_register
perf_pmu_unregister
perf_trace_buf_alloc
perf_trace_run_bpf_submit
pfn_is_map_memory
phy_attached_info
phy_basic_t1_features
phy_config_aneg
phy_configure
phy_disconnect
phy_drivers_register
phy_drivers_unregister
phy_error
phy_ethtool_get_eee
phy_ethtool_ksettings_get
phy_ethtool_ksettings_set
phy_ethtool_nway_reset
phy_ethtool_set_eee
phy_exit
phy_gbit_fibre_features
phy_get_eee_err
phy_init
phy_init_eee
phylink_connect_phy
phylink_create
phylink_destroy
phylink_disconnect_phy
phylink_ethtool_get_eee
phylink_ethtool_get_pauseparam
phylink_ethtool_get_wol
phylink_ethtool_ksettings_get
phylink_ethtool_ksettings_set
phylink_ethtool_nway_reset
phylink_ethtool_set_eee
phylink_ethtool_set_pauseparam
phylink_ethtool_set_wol
phylink_get_eee_err
phylink_mii_ioctl
phylink_of_phy_connect
phylink_resume
phylink_set_pcs
phylink_set_port_modes
phylink_speed_down
phylink_speed_up
phylink_start
phylink_stop
phylink_suspend
phy_mac_interrupt
phy_mii_ioctl
__phy_modify
phy_modify
phy_modify_changed
phy_modify_mmd
phy_modify_mmd_changed
phy_modify_paged
phy_modify_paged_changed
phy_power_off
phy_power_on
phy_read_mmd
phy_read_paged
phy_resolve_aneg_pause
phy_restore_page
phy_resume
phy_save_page
phy_select_page
phy_sfp_attach
phy_sfp_detach
phy_sfp_probe
phy_start_aneg
phy_stop
phy_suspend
phy_trigger_machine
phy_write_mmd
phy_write_paged
pid_task
pinctrl_add_gpio_range
pinctrl_dev_get_drvdata
pinctrl_lookup_state
pinctrl_pm_select_default_state
pinctrl_pm_select_sleep_state
pinctrl_remove_gpio_range
pinctrl_select_state
pin_user_pages
pin_user_pages_fast
pin_user_pages_remote
pipe_lock
pipe_unlock
platform_bus_type
platform_device_add
platform_device_add_resources
platform_device_alloc
platform_device_del
platform_device_put
platform_device_register_full
platform_device_unregister
__platform_driver_probe
__platform_driver_register
platform_driver_unregister
platform_find_device_by_driver
platform_get_irq
platform_get_irq_byname
platform_get_irq_byname_optional
platform_get_resource
platform_get_resource_byname
__platform_register_drivers
platform_unregister_drivers
pm_genpd_add_subdomain
pm_genpd_init
pm_power_off
__pm_relax
pm_relax
pm_runtime_allow
__pm_runtime_disable
pm_runtime_enable
pm_runtime_forbid
pm_runtime_force_resume
pm_runtime_force_suspend
__pm_runtime_idle
pm_runtime_irq_safe
pm_runtime_no_callbacks
__pm_runtime_resume
pm_runtime_set_autosuspend_delay
__pm_runtime_set_status
__pm_runtime_suspend
__pm_runtime_use_autosuspend
__pm_stay_awake
pm_stay_awake
pm_suspend_global_flags
pm_wakeup_dev_event
pm_wakeup_ws_event
prandom_bytes
preempt_schedule
preempt_schedule_notrace
prepare_to_wait
prepare_to_wait_event
print_hex_dump
_printk
__printk_ratelimit
proc_create
proc_create_data
proc_mkdir
proc_set_size
__pskb_pull_tail
ptp_clock_event
ptp_clock_index
ptp_clock_register
ptp_clock_unregister
put_device
__put_page
put_pid
__put_task_struct
put_unused_fd
put_vaddr_frames
pwmchip_add
pwmchip_remove
pwm_get_chip_data
pwm_set_chip_data
queue_delayed_work_on
queue_work_on
radix_tree_delete
radix_tree_insert
radix_tree_iter_delete
radix_tree_lookup
radix_tree_next_chunk
___ratelimit
_raw_read_lock
_raw_read_lock_irqsave
_raw_read_unlock
_raw_read_unlock_irqrestore
_raw_spin_lock
_raw_spin_lock_bh
_raw_spin_lock_irq
_raw_spin_lock_irqsave
_raw_spin_trylock
_raw_spin_unlock
_raw_spin_unlock_bh
_raw_spin_unlock_irq
_raw_spin_unlock_irqrestore
_raw_write_lock
_raw_write_lock_irqsave
_raw_write_unlock
_raw_write_unlock_irqrestore
rb_erase
__rb_erase_color
rb_first
rb_first_postorder
__rb_insert_augmented
rb_insert_color
rb_next
rb_next_postorder
rb_prev
rb_replace_node
rcu_barrier
__rcu_read_lock
__rcu_read_unlock
rdev_get_drvdata
rdev_get_id
refcount_dec_and_mutex_lock
refcount_warn_saturate
__refrigerator
regcache_cache_only
regcache_mark_dirty
regcache_sync
__register_blkdev
__register_chrdev
register_chrdev_region
register_console
register_die_notifier
register_module_notifier
register_netdev
register_netdevice_notifier
register_oom_notifier
register_pm_notifier
register_reboot_notifier
register_restart_handler
register_shrinker
register_syscore_ops
register_virtio_device
register_virtio_driver
regmap_bulk_write
regmap_multi_reg_write
regmap_read
regmap_register_patch
regmap_update_bits_base
regmap_write
regulator_bulk_disable
regulator_bulk_enable
regulator_disable
regulator_enable
regulator_get
regulator_get_optional
regulator_get_voltage
regulator_is_enabled
regulator_list_voltage_linear
regulator_map_voltage_linear
regulator_put
regulator_register
regulator_set_load
regulator_set_voltage
regulator_unregister
release_firmware
release_pages
__release_region
remap_pfn_range
remap_vmalloc_range
remove_cpu
remove_proc_entry
remove_wait_queue
request_firmware
request_firmware_direct
request_firmware_into_buf
__request_region
request_threaded_irq
reset_control_assert
reset_control_deassert
reset_control_reset
return_address
rtc_time64_to_tm
rtc_update_irq
rtc_valid_tm
rtnl_is_locked
rtnl_lock
rtnl_unlock
sched_clock
sched_set_fifo
sched_set_fifo_low
schedule
schedule_timeout
scnprintf
scsi_block_when_processing_errors
__scsi_execute
scsi_print_sense_hdr
scsi_schedule_eh
sdev_prefix_printk
seq_hex_dump
seq_lseek
seq_open
seq_printf
seq_putc
seq_puts
seq_read
seq_release
seq_write
set_capacity
set_capacity_and_notify
set_cpus_allowed_ptr
set_disk_ro
set_freezable
set_normalized_timespec64
set_page_dirty_lock
__SetPageMovable
set_user_nice
sg_alloc_table
sg_alloc_table_chained
sg_alloc_table_from_pages_segment
sg_free_table
sg_free_table_chained
sg_init_one
sg_init_table
sg_miter_next
sg_miter_start
sg_miter_stop
sg_next
__sg_page_iter_next
__sg_page_iter_start
sigprocmask
si_meminfo
simple_attr_open
simple_attr_read
simple_attr_release
simple_attr_write
simple_open
simple_read_from_buffer
simple_strtoul
simple_write_to_buffer
single_open
single_release
skb_add_rx_frag
skb_coalesce_rx_frag
__skb_flow_dissect
skb_page_frag_refill
skb_partial_csum_set
skb_pull
skb_put
skb_to_sgvec
skb_tstamp_tx
sk_error_report
smp_call_function
smp_call_function_single
smp_call_on_cpu
snd_ctl_add
snd_ctl_enum_info
snd_ctl_new1
snd_dmaengine_pcm_prepare_slave_config
snd_pcm_add_chmap_ctls
snd_pcm_create_iec958_consumer_default
snd_pcm_fill_iec958_consumer
snd_pcm_fill_iec958_consumer_hw_params
snd_pcm_format_width
snd_pcm_hw_constraint_eld
snd_pcm_hw_constraint_integer
snd_pcm_lib_free_pages
snd_pcm_lib_ioctl
snd_pcm_lib_malloc_pages
snd_pcm_lib_preallocate_free_for_all
snd_pcm_lib_preallocate_pages
snd_pcm_period_elapsed
snd_soc_add_component_controls
snd_soc_component_init_regmap
snd_soc_component_read
snd_soc_component_update_bits
snd_soc_component_write
snd_soc_dai_set_fmt
snd_soc_dai_set_tdm_slot
snd_soc_dai_set_tristate
snd_soc_dapm_add_routes
snd_soc_dapm_get_enum_double
snd_soc_dapm_get_volsw
snd_soc_dapm_kcontrol_widget
snd_soc_dapm_mux_update_power
snd_soc_dapm_new_control
snd_soc_dapm_new_controls
snd_soc_dapm_put_enum_double
snd_soc_dapm_put_volsw
snd_soc_get_volsw
snd_soc_info_enum_double
snd_soc_info_volsw
snd_soc_jack_report
snd_soc_of_get_dai_link_codecs
snd_soc_of_get_dai_name
snd_soc_of_parse_audio_routing
snd_soc_of_put_dai_link_codecs
snd_soc_pm_ops
snd_soc_put_volsw
snd_soc_set_runtime_hwparams
snd_soc_unregister_component
snprintf
soc_device_register
softnet_data
sort
__spi_alloc_controller
spi_controller_resume
spi_controller_suspend
spi_delay_exec
spi_finalize_current_message
spi_register_controller
__spi_register_driver
spi_setup
spi_sync
spi_unregister_controller
__splice_from_pipe
split_page
sprintf
sprint_symbol
sscanf
__stack_chk_fail
start_backtrace
static_key_slow_dec
static_key_slow_inc
strcasecmp
strcat
strchr
strcmp
strcpy
strcspn
stream_open
strim
string_get_size
strlcat
strlcpy
strlen
strncasecmp
strncmp
strncpy
strnlen
strnstr
strpbrk
strrchr
strscpy
strsep
strstr
subsys_system_register
__sw_hweight32
__sw_hweight64
sync_file_create
sync_file_get_fence
synchronize_irq
synchronize_net
synchronize_rcu
syscon_node_to_regmap
syscon_regmap_lookup_by_phandle
sysfs_add_file_to_group
sysfs_create_bin_file
sysfs_create_file_ns
sysfs_create_files
sysfs_create_group
sysfs_create_groups
sysfs_create_link
sysfs_emit
__sysfs_match_string
sysfs_notify
sysfs_remove_bin_file
sysfs_remove_file_from_group
sysfs_remove_file_ns
sysfs_remove_files
sysfs_remove_group
sysfs_remove_link
sysfs_streq
sysrq_mask
system_freezing_cnt
system_state
system_unbound_wq
system_wq
sys_tz
tasklet_init
tasklet_kill
__tasklet_schedule
tasklet_setup
__task_pid_nr_ns
thermal_zone_device_update
thermal_zone_of_sensor_register
thermal_zone_of_sensor_unregister
time64_to_tm
_totalram_pages
touch_softlockup_watchdog
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_ignore_this_pid
trace_event_printf
trace_event_raw_init
trace_event_reg
trace_handle_return
__traceiter_android_vh_ipi_stop
__traceiter_android_vh_logbuf
__traceiter_android_vh_logbuf_pr_cont
__traceiter_android_vh_show_mem
__traceiter_android_vh_ufs_fill_prdt
__traceiter_cpu_idle
__traceiter_device_pm_callback_end
__traceiter_device_pm_callback_start
__traceiter_gpu_mem_total
__traceiter_hrtimer_expire_entry
__traceiter_hrtimer_expire_exit
__traceiter_irq_handler_entry
__traceiter_irq_handler_exit
__traceiter_rwmmio_post_read
__traceiter_rwmmio_read
__traceiter_rwmmio_write
__traceiter_sched_switch
__traceiter_suspend_resume
__traceiter_workqueue_execute_end
__traceiter_workqueue_execute_start
__traceiter_xdp_exception
trace_output_call
__tracepoint_android_vh_ipi_stop
__tracepoint_android_vh_logbuf
__tracepoint_android_vh_logbuf_pr_cont
__tracepoint_android_vh_show_mem
__tracepoint_android_vh_ufs_fill_prdt
__tracepoint_cpu_idle
__tracepoint_device_pm_callback_end
__tracepoint_device_pm_callback_start
__tracepoint_gpu_mem_total
__tracepoint_hrtimer_expire_entry
__tracepoint_hrtimer_expire_exit
__tracepoint_irq_handler_entry
__tracepoint_irq_handler_exit
tracepoint_probe_register
__tracepoint_rwmmio_post_read
__tracepoint_rwmmio_read
__tracepoint_rwmmio_write
__tracepoint_sched_switch
__tracepoint_suspend_resume
__tracepoint_workqueue_execute_end
__tracepoint_workqueue_execute_start
__tracepoint_xdp_exception
trace_print_array_seq
trace_print_flags_seq
trace_print_symbols_seq
trace_raw_output_prep
try_module_get
ttm_bo_bulk_move_lru_tail
ttm_bo_eviction_valuable
ttm_bo_init_reserved
ttm_bo_kmap
ttm_bo_kunmap
ttm_bo_lock_delayed_workqueue
ttm_bo_mem_space
ttm_bo_mmap_obj
ttm_bo_move_accel_cleanup
ttm_bo_move_memcpy
ttm_bo_move_to_lru_tail
ttm_bo_put
ttm_bo_unlock_delayed_workqueue
ttm_bo_validate
ttm_bo_vm_access
ttm_bo_vmap
ttm_bo_vm_close
ttm_bo_vm_dummy_page
ttm_bo_vm_fault_reserved
ttm_bo_vm_open
ttm_bo_vm_reserve
ttm_bo_vunmap
ttm_bo_wait
ttm_device_fini
ttm_device_init
ttm_eu_backoff_reservation
ttm_eu_fence_buffer_objects
ttm_eu_reserve_buffers
ttm_glob
ttm_pool_alloc
ttm_pool_debugfs
ttm_pool_free
ttm_range_man_fini
ttm_range_man_init
ttm_resource_free
ttm_resource_init
ttm_resource_manager_evict_all
ttm_resource_manager_init
ttm_sg_tt_init
ttm_tt_destroy_common
ttm_tt_fini
__tty_alloc_driver
tty_driver_kref_put
tty_flip_buffer_push
tty_insert_flip_string_fixed_flag
tty_kref_put
tty_port_close
tty_port_destroy
tty_port_hangup
tty_port_init
tty_port_link_device
tty_port_open
tty_port_tty_get
tty_register_driver
tty_std_termios
tty_unregister_driver
typec_register_partner
typec_register_port
typec_set_data_role
typec_set_pwr_opmode
typec_set_pwr_role
typec_unregister_partner
typec_unregister_port
uart_add_one_port
uart_console_write
uart_get_baud_rate
uart_parse_options
uart_register_driver
uart_remove_one_port
uart_resume_port
uart_set_options
uart_suspend_port
uart_try_toggle_sysrq
uart_unregister_driver
uart_update_timeout
uart_write_wakeup
__ubsan_handle_cfi_check_fail_abort
__udelay
ufshcd_config_pwr_mode
ufshcd_dme_get_attr
ufshcd_dump_regs
ufshcd_link_recovery
ufshcd_pltfrm_init
ufshcd_remove
ufshcd_shutdown
ufshcd_system_resume
ufshcd_system_suspend
unlock_page
unmap_mapping_range
unpin_user_page
unregister_blkdev
__unregister_chrdev
unregister_chrdev_region
unregister_console
unregister_netdev
unregister_netdevice_notifier
unregister_netdevice_queue
unregister_oom_notifier
unregister_pm_notifier
unregister_reboot_notifier
unregister_shrinker
unregister_virtio_device
unregister_virtio_driver
up
up_read
up_write
usb_gadget_set_state
usb_otg_state_string
__usecs_to_jiffies
usleep_range_state
uuid_gen
v4l2_ctrl_handler_free
v4l2_ctrl_handler_init_class
v4l2_ctrl_handler_setup
v4l2_ctrl_new_custom
v4l2_ctrl_new_std
v4l2_device_register
v4l2_device_register_subdev
v4l2_device_unregister
v4l2_device_unregister_subdev
v4l2_fh_add
v4l2_fh_del
v4l2_fh_exit
v4l2_fh_init
v4l2_m2m_buf_queue
v4l2_m2m_buf_remove
v4l2_m2m_ctx_init
v4l2_m2m_ctx_release
v4l2_m2m_dqbuf
v4l2_m2m_get_curr_priv
v4l2_m2m_get_vq
v4l2_m2m_init
v4l2_m2m_job_finish
v4l2_m2m_mmap
v4l2_m2m_next_buf
v4l2_m2m_poll
v4l2_m2m_qbuf
v4l2_m2m_querybuf
v4l2_m2m_release
v4l2_m2m_reqbufs
v4l2_m2m_streamoff
v4l2_m2m_streamon
v4l2_m2m_try_schedule
v4l2_subdev_call_wrappers
v4l2_subdev_init
v4l_bound_align_image
vabits_actual
vb2_buffer_done
vb2_dma_sg_memops
vb2_dqbuf
vb2_mmap
vb2_plane_cookie
vb2_plane_vaddr
vb2_poll
vb2_qbuf
vb2_querybuf
vb2_queue_init
vb2_queue_release
vb2_reqbufs
vb2_streamoff
vb2_streamon
vfree
video_devdata
video_device_alloc
video_device_release
video_ioctl2
videomode_from_timings
__video_register_device
video_unregister_device
virtio_break_device
virtio_check_driver_offered_feature
virtio_config_changed
virtio_device_freeze
virtio_device_restore
virtio_max_dma_size
virtio_transport_connect
virtio_transport_deliver_tap_pkt
virtio_transport_destruct
virtio_transport_dgram_allow
virtio_transport_dgram_bind
virtio_transport_dgram_dequeue
virtio_transport_dgram_enqueue
virtio_transport_do_socket_init
virtio_transport_free_pkt
virtio_transport_notify_buffer_size
virtio_transport_notify_poll_in
virtio_transport_notify_poll_out
virtio_transport_notify_recv_init
virtio_transport_notify_recv_post_dequeue
virtio_transport_notify_recv_pre_block
virtio_transport_notify_recv_pre_dequeue
virtio_transport_notify_send_init
virtio_transport_notify_send_post_enqueue
virtio_transport_notify_send_pre_block
virtio_transport_notify_send_pre_enqueue
virtio_transport_recv_pkt
virtio_transport_release
virtio_transport_seqpacket_dequeue
virtio_transport_seqpacket_enqueue
virtio_transport_seqpacket_has_data
virtio_transport_shutdown
virtio_transport_stream_allow
virtio_transport_stream_dequeue
virtio_transport_stream_enqueue
virtio_transport_stream_has_data
virtio_transport_stream_has_space
virtio_transport_stream_is_active
virtio_transport_stream_rcvhiwat
virtqueue_add_inbuf
virtqueue_add_inbuf_ctx
virtqueue_add_outbuf
virtqueue_add_sgs
virtqueue_detach_unused_buf
virtqueue_disable_cb
virtqueue_enable_cb
virtqueue_enable_cb_delayed
virtqueue_enable_cb_prepare
virtqueue_get_avail_addr
virtqueue_get_buf
virtqueue_get_buf_ctx
virtqueue_get_desc_addr
virtqueue_get_used_addr
virtqueue_get_vring_size
virtqueue_is_broken
virtqueue_kick
virtqueue_kick_prepare
virtqueue_notify
virtqueue_poll
vlan_uses_dev
vlan_vid_add
vlan_vid_del
vlan_vids_add_by_dev
vlan_vids_del_by_dev
__vmalloc
vmalloc
vmalloc_to_page
vmalloc_user
vmap
vmf_insert_pfn_prot
vm_insert_page
vprintk
vring_create_virtqueue
vring_del_virtqueue
vring_interrupt
vring_transport_features
vscnprintf
vsnprintf
vsock_core_register
vsock_core_unregister
vsock_for_each_connected_socket
vunmap
vzalloc
wait_for_completion
wait_for_completion_interruptible
wait_for_completion_interruptible_timeout
wait_for_completion_killable
wait_for_completion_timeout
wait_on_page_bit
__wake_up
__wake_up_locked
wake_up_process
wakeup_source_add
wakeup_source_register
wakeup_source_unregister
__warn_printk
watchdog_init_timeout
watchdog_register_device
watchdog_set_restart_priority
watchdog_unregister_device
work_busy
ww_mutex_lock
ww_mutex_lock_interruptible
ww_mutex_unlock
xa_destroy
__xa_erase
xa_load
__xa_store
xdp_convert_zc_to_xdp_frame
xdp_do_flush
xdp_do_redirect
xdp_master_redirect
xdp_return_frame
xdp_return_frame_rx_napi
xdp_rxq_info_is_reg
xdp_rxq_info_reg
xdp_rxq_info_reg_mem_model
xdp_rxq_info_unreg
xdp_rxq_info_unreg_mem_model
xdp_warn
xp_alloc
xp_dma_map
xp_dma_sync_for_cpu_slow
xp_dma_sync_for_device_slow
xp_dma_unmap
xp_free
xp_raw_get_dma
xp_set_rxq_info
xsk_clear_rx_need_wakeup
xsk_get_pool_from_qid
xsk_set_rx_need_wakeup
xsk_set_tx_need_wakeup
xsk_tx_completed
xsk_tx_peek_desc
xsk_tx_release
xsk_uses_need_wakeup
zs_compact
zs_create_pool
zs_destroy_pool
zs_free
zs_get_total_pages
zs_huge_class_size
zs_malloc
zs_map_object
zs_pool_stats
zs_unmap_object