blob: 73dd66c144a21668acf5f540e7c147827ecaca9b [file] [log] [blame]
[abi_symbol_list]
access_process_vm
activate_task
addrconf_add_linklocal
addrconf_prefix_rcv_add_addr
add_timer
add_uevent_var
add_wait_queue
add_wait_queue_exclusive
add_wait_queue_priority
adjust_managed_page_count
aes_encrypt
aes_expandkey
alarm_cancel
alarm_init
alarm_start
alarm_start_relative
alarm_try_to_cancel
alloc_chrdev_region
alloc_etherdev_mqs
alloc_io_pgtable_ops
alloc_netdev_mqs
__alloc_pages
alloc_pages_exact
__alloc_percpu
__alloc_percpu_gfp
__alloc_skb
alloc_workqueue
all_vm_events
alt_cb_patch_nops
android_rvh_probe_register
anon_inode_getfd
anon_inode_getfile
__arch_clear_user
__arch_copy_from_user
__arch_copy_to_user
arch_freq_scale
arch_timer_read_counter
arm64_use_ng_mappings
arm_smccc_1_1_get_conduit
arm_smccc_1_2_hvc
arm_smccc_1_2_smc
arm_smccc_get_version
__arm_smccc_hvc
__arm_smccc_smc
arp_tbl
async_schedule_node
atomic_notifier_call_chain
atomic_notifier_chain_register
atomic_notifier_chain_unregister
autoremove_wake_function
available_idle_cpu
balance_push_callback
bcmp
bdev_end_io_acct
bdev_start_io_acct
bin2hex
bio_add_page
bio_alloc_bioset
bio_chain
bio_endio
bio_end_io_acct_remapped
bio_init
bio_put
bio_start_io_acct
__bitmap_and
__bitmap_andnot
__bitmap_clear
__bitmap_complement
__bitmap_equal
bitmap_find_free_region
bitmap_find_next_zero_area_off
bitmap_free
bitmap_from_arr32
__bitmap_intersects
__bitmap_or
bitmap_parse
bitmap_print_to_pagebuf
bitmap_release_region
__bitmap_set
__bitmap_subset
__bitmap_weight
__bitmap_xor
bitmap_zalloc
bit_wait
bit_wait_timeout
__blk_alloc_disk
blkdev_get_by_dev
blkdev_put
blk_mq_unique_tag
blk_queue_flag_clear
blk_queue_flag_set
blk_queue_io_min
blk_queue_io_opt
blk_queue_logical_block_size
blk_queue_max_discard_sectors
blk_queue_max_write_zeroes_sectors
blk_queue_physical_block_size
blocking_notifier_call_chain
blocking_notifier_chain_register
blocking_notifier_chain_unregister
bpf_trace_run1
bpf_trace_run10
bpf_trace_run11
bpf_trace_run12
bpf_trace_run2
bpf_trace_run3
bpf_trace_run4
bpf_trace_run5
bpf_trace_run6
bpf_trace_run7
bpf_trace_run8
bpf_trace_run9
build_skb
bus_find_device
bus_for_each_dev
bus_register
bus_unregister
cache_line_size
call_netdevice_notifiers
call_rcu
cancel_delayed_work
cancel_delayed_work_sync
cancel_work_sync
capable
cdc_parse_cdc_header
cdev_add
cdev_alloc
cdev_del
cdev_device_add
cdev_device_del
cdev_init
cgroup_taskset_first
cgroup_taskset_next
__check_object_size
check_preempt_curr
__class_create
class_destroy
class_dev_iter_exit
class_dev_iter_init
class_dev_iter_next
class_find_device
class_for_each_device
__class_register
class_unregister
clear_page
__ClearPageMovable
clk_bulk_disable
clk_bulk_enable
clk_bulk_prepare
clk_bulk_put
clk_bulk_put_all
clk_bulk_unprepare
clk_disable
clk_divider_ops
clk_enable
clk_gate_ops
clk_get
__clk_get_hw
__clk_get_name
clk_get_parent
clk_get_rate
clk_hw_get_name
clk_hw_get_num_parents
clk_hw_get_parent
clk_hw_get_parent_by_index
clk_hw_get_parent_index
clk_hw_get_rate
clk_hw_is_enabled
clk_hw_is_prepared
clk_hw_round_rate
clk_hw_set_parent
__clk_is_enabled
clk_is_match
__clk_mux_determine_rate_closest
clk_mux_ops
clk_notifier_register
clk_prepare
clk_put
clk_register
clk_register_composite
clk_register_divider_table
clk_register_fixed_factor
clk_register_fixed_rate
clk_set_parent
clk_set_rate
clk_unprepare
clockevents_config_and_register
clocks_calc_mult_shift
clocksource_mmio_init
clocksource_mmio_readl_up
close_fd
cma_alloc
cma_release
compat_ptr_ioctl
complete
complete_all
completion_done
component_add
component_add_typed
component_bind_all
component_del
component_master_add_with_match
component_master_del
component_match_add_release
component_match_add_typed
component_unbind_all
config_ep_by_speed
config_group_init_type_name
console_drivers
console_suspend_enabled
__const_udelay
consume_skb
contig_page_data
_copy_from_iter
copy_from_kernel_nofault
__copy_overflow
_copy_to_iter
__cpu_active_mask
cpu_all_bits
cpu_bit_bitmap
cpufreq_add_update_util_hook
cpufreq_cpu_get
cpufreq_cpu_put
cpufreq_disable_fast_switch
cpufreq_driver_fast_switch
cpufreq_driver_resolve_freq
__cpufreq_driver_target
cpufreq_enable_fast_switch
cpufreq_generic_attr
cpufreq_generic_frequency_table_verify
cpufreq_get_policy
cpufreq_policy_transition_delay_us
cpufreq_quick_get
cpufreq_register_driver
cpufreq_register_governor
cpufreq_remove_update_util_hook
cpufreq_table_index_unsorted
cpufreq_this_cpu_can_update
cpufreq_unregister_driver
cpufreq_unregister_governor
cpufreq_update_limits
cpu_hotplug_disable
cpu_hotplug_enable
__cpuhp_remove_state
__cpuhp_setup_state
__cpuhp_state_add_instance
__cpuhp_state_remove_instance
cpu_hwcaps
cpuidle_driver_state_disabled
cpuidle_get_driver
cpuidle_governor_latency_req
cpuidle_pause_and_lock
cpuidle_register_governor
cpuidle_resume_and_unlock
cpu_latency_qos_add_request
cpu_latency_qos_remove_request
cpu_latency_qos_update_request
cpumask_any_and_distribute
cpu_number
__cpu_online_mask
cpu_pm_register_notifier
cpu_pm_unregister_notifier
__cpu_possible_mask
__cpu_present_mask
cpupri_find_fitness
cpu_scale
cpus_read_lock
cpus_read_unlock
cpu_subsys
cpu_topology
crc16
crc32_be
crc32_le
crc8
crc8_populate_msb
crc_ccitt
crypto_aead_decrypt
crypto_aead_encrypt
crypto_aead_setauthsize
crypto_aead_setkey
crypto_alloc_aead
crypto_alloc_base
crypto_alloc_kpp
crypto_alloc_shash
crypto_alloc_skcipher
crypto_alloc_sync_skcipher
crypto_comp_compress
crypto_comp_decompress
crypto_default_rng
crypto_destroy_tfm
crypto_ecdh_encode_key
crypto_ecdh_key_len
crypto_get_default_rng
crypto_has_ahash
crypto_has_alg
__crypto_memneq
crypto_put_default_rng
crypto_shash_digest
crypto_shash_final
crypto_shash_finup
crypto_shash_setkey
crypto_shash_tfm_digest
crypto_shash_update
crypto_skcipher_decrypt
crypto_skcipher_encrypt
crypto_skcipher_setkey
__crypto_xor
css_next_child
csum_ipv6_magic
csum_partial
ct_idle_enter
ct_idle_exit
_ctype
dapm_clock_event
dapm_kcontrol_get_value
dapm_regulator_event
datagram_poll
deactivate_task
debugfs_attr_read
debugfs_attr_write
debugfs_create_atomic_t
debugfs_create_blob
debugfs_create_bool
debugfs_create_devm_seqfile
debugfs_create_dir
debugfs_create_file
debugfs_create_regset32
debugfs_create_symlink
debugfs_create_u16
debugfs_create_u32
debugfs_create_u64
debugfs_create_u8
debugfs_create_x32
debugfs_lookup
debugfs_remove
debugfs_rename
dec_zone_page_state
default_llseek
default_wake_function
delayed_work_timer_fn
del_gendisk
del_timer
del_timer_sync
desc_to_gpio
destroy_workqueue
dev_add_pack
dev_addr_mod
dev_alloc_name
dev_base_lock
dev_change_flags
__dev_change_net_namespace
dev_close
dev_close_many
dev_coredumpv
dev_driver_string
_dev_err
dev_err_probe
dev_fetch_sw_netstats
devfreq_add_device
devfreq_add_governor
devfreq_cooling_unregister
devfreq_get_devfreq_by_phandle
devfreq_monitor_resume
devfreq_monitor_start
devfreq_monitor_stop
devfreq_monitor_suspend
devfreq_recommended_opp
devfreq_register_opp_notifier
devfreq_remove_device
devfreq_remove_governor
devfreq_resume_device
devfreq_suspend_device
devfreq_unregister_opp_notifier
devfreq_update_interval
dev_fwnode
dev_getbyhwaddr_rcu
__dev_get_by_index
dev_get_by_index
dev_get_by_index_rcu
__dev_get_by_name
dev_get_by_name
dev_getfirstbyhwtype
dev_get_flags
dev_get_regmap
dev_get_stats
dev_get_tstats64
device_add
device_add_disk
device_attach
device_create
device_create_bin_file
device_create_file
device_create_managed_software_node
device_create_with_groups
device_del
device_destroy
device_find_child
device_find_child_by_name
device_for_each_child
device_get_child_node_count
device_get_match_data
device_get_next_child_node
device_initialize
device_link_add
device_link_remove
device_match_any
device_match_fwnode
device_match_name
device_move
device_node_to_regmap
device_property_present
device_property_read_string
device_property_read_u32_array
device_property_read_u8_array
device_register
device_release_driver
device_remove_bin_file
device_remove_file
device_remove_file_self
device_rename
__device_reset
device_set_of_node_from_dev
device_set_wakeup_capable
device_set_wakeup_enable
device_show_bool
device_store_bool
device_unregister
device_wakeup_disable
device_wakeup_enable
_dev_info
__dev_kfree_skb_any
__dev_kfree_skb_irq
devlink_alloc_ns
devlink_flash_update_status_notify
devlink_fmsg_binary_pair_nest_end
devlink_fmsg_binary_pair_nest_start
devlink_fmsg_binary_put
devlink_free
devlink_health_report
devlink_health_reporter_create
devlink_health_reporter_destroy
devlink_health_reporter_priv
devlink_health_reporter_state_update
devlink_priv
devlink_region_create
devlink_region_destroy
devlink_register
devlink_unregister
dev_load
devm_add_action
__devm_alloc_percpu
devm_backlight_device_register
devm_bitmap_zalloc
devm_blk_crypto_profile_init
devm_clk_bulk_get
devm_clk_bulk_get_all
devm_clk_bulk_get_optional
devm_clk_get
devm_clk_get_optional
devm_clk_put
devm_clk_register
dev_mc_sync
dev_mc_unsync
devm_devfreq_add_device
devm_devfreq_register_notifier
devm_devfreq_remove_device
devm_devfreq_unregister_notifier
devm_extcon_dev_allocate
devm_extcon_dev_register
devm_extcon_register_notifier
devm_free_irq
devm_fwnode_pwm_get
devm_gpiochip_add_data_with_key
devm_gpiod_get
devm_gpiod_get_index
devm_gpiod_get_optional
devm_gpiod_put
devm_gpio_request
devm_gpio_request_one
devm_hwrng_register
devm_i2c_new_dummy_device
devm_iio_channel_get
devm_iio_channel_get_all
devm_iio_device_alloc
__devm_iio_device_register
devm_input_allocate_device
devm_ioremap
devm_ioremap_resource
devm_ioremap_wc
devm_iounmap
devm_kasprintf
devm_kfree
devm_kmalloc
devm_kstrdup
devm_led_classdev_register_ext
devm_led_classdev_unregister
devm_mbox_controller_register
devm_memremap
devm_mfd_add_devices
devm_nvmem_cell_get
devm_nvmem_device_get
devm_nvmem_register
devm_of_icc_get
devm_of_phy_get_by_index
__devm_of_phy_provider_register
devm_of_platform_populate
devm_pci_alloc_host_bridge
devm_phy_create
devm_phy_get
devm_phy_optional_get
devm_pinctrl_get
devm_pinctrl_put
devm_pinctrl_register_and_init
devm_platform_get_and_ioremap_resource
devm_platform_ioremap_resource
devm_platform_ioremap_resource_byname
devm_power_supply_get_by_phandle
devm_power_supply_register
devm_rc_allocate_device
devm_rc_register_device
devm_regmap_add_irq_chip
devm_regmap_field_alloc
devm_regmap_field_bulk_alloc
__devm_regmap_init
__devm_regmap_init_i2c
__devm_regmap_init_mmio_clk
__devm_regmap_init_spmi_ext
devm_regulator_bulk_get
devm_regulator_get
devm_regulator_get_exclusive
devm_regulator_get_optional
devm_regulator_put
devm_regulator_register
devm_regulator_register_notifier
devm_regulator_unregister_notifier
devm_request_threaded_irq
__devm_reset_control_get
devm_reset_controller_register
devm_rtc_allocate_device
devm_rtc_nvmem_register
__devm_rtc_register_device
devm_snd_soc_register_card
devm_snd_soc_register_component
devm_spi_register_controller
devm_thermal_of_zone_register
devm_thermal_of_zone_unregister
devm_watchdog_register_device
dev_nit_active
_dev_notice
dev_pm_clear_wake_irq
dev_pm_domain_attach_by_id
dev_pm_domain_attach_by_name
dev_pm_domain_detach
dev_pm_genpd_add_notifier
dev_pm_genpd_set_performance_state
dev_pm_opp_add
dev_pm_opp_clear_config
dev_pm_opp_find_freq_ceil
dev_pm_opp_find_freq_exact
dev_pm_opp_find_freq_floor
dev_pm_opp_get_freq
dev_pm_opp_get_level
dev_pm_opp_get_opp_count
dev_pm_opp_get_opp_table
dev_pm_opp_get_voltage
dev_pm_opp_of_add_table
dev_pm_opp_of_add_table_indexed
dev_pm_opp_of_remove_table
dev_pm_opp_put
dev_pm_opp_put_opp_table
dev_pm_opp_remove_all_dynamic
dev_pm_opp_set_config
dev_pm_qos_add_notifier
dev_pm_qos_remove_notifier
dev_pm_qos_update_request
dev_pm_set_dedicated_wake_irq_reverse
_dev_printk
__dev_queue_xmit
dev_remove_pack
devres_add
__devres_alloc_node
devres_free
devres_release
dev_set_allmulti
dev_set_mac_address
dev_set_mtu
dev_set_name
dev_set_promiscuity
dev_uc_add
dev_uc_del
dev_uc_sync
dev_uc_unsync
_dev_warn
disable_irq
disable_irq_nosync
disable_percpu_irq
dma_alloc_attrs
dma_alloc_pages
dma_async_device_register
dma_async_device_unregister
dma_async_tx_descriptor_init
dma_buf_attach
dma_buf_begin_cpu_access
dma_buf_detach
dma_buf_end_cpu_access
dma_buf_end_cpu_access_partial
dma_buf_export
dma_buf_fd
dma_buf_get
dma_buf_get_each
dma_buf_map_attachment
dma_buf_mmap
dmabuf_page_pool_alloc
dmabuf_page_pool_create
dmabuf_page_pool_destroy
dmabuf_page_pool_free
dma_buf_put
dma_buf_unmap_attachment
dma_buf_vmap
dma_buf_vunmap
dma_contiguous_default_area
dma_fence_add_callback
dma_fence_array_create
dma_fence_array_ops
dma_fence_context_alloc
dma_fence_default_wait
dma_fence_free
dma_fence_get_status
dma_fence_init
dma_fence_release
dma_fence_remove_callback
dma_fence_signal
dma_fence_signal_locked
dma_fence_signal_timestamp_locked
dma_fence_wait_timeout
dma_free_attrs
dma_free_pages
dma_get_sgtable_attrs
dma_heap_add
dma_heap_buffer_alloc
dma_heap_bufferfd_alloc
dma_heap_buffer_free
dma_heap_find
dma_heap_get_dev
dma_heap_get_drvdata
dma_heap_get_name
dma_heap_put
dmam_alloc_attrs
dma_map_page_attrs
dma_map_resource
dma_map_sg_attrs
dma_map_sgtable
dmam_free_coherent
dma_mmap_attrs
dma_mmap_pages
dma_pool_alloc
dma_pool_create
dma_pool_destroy
dma_pool_free
dma_resv_add_fence
dma_resv_iter_first_unlocked
dma_resv_iter_next_unlocked
dma_resv_reserve_fences
dma_run_dependencies
dma_set_coherent_mask
dma_set_mask
dma_sync_sg_for_cpu
dma_sync_sg_for_device
dma_sync_single_for_cpu
dma_sync_single_for_device
dma_unmap_page_attrs
dma_unmap_resource
dma_unmap_sg_attrs
do_trace_netlink_extack
double_rq_lock
do_wait_intr_irq
down
downgrade_write
down_interruptible
down_killable
down_read
down_read_killable
down_read_trylock
down_timeout
down_trylock
down_write
down_write_killable
d_path
dput
drain_workqueue
driver_attach
driver_create_file
driver_find_device
driver_register
driver_remove_file
driver_unregister
drm_add_edid_modes
drm_add_modes_noedid
drm_atomic_add_affected_connectors
drm_atomic_get_connector_state
drm_atomic_get_crtc_state
drm_atomic_get_new_private_obj_state
drm_atomic_get_old_private_obj_state
drm_atomic_get_private_obj_state
drm_atomic_helper_check
drm_atomic_helper_check_plane_state
drm_atomic_helper_cleanup_planes
drm_atomic_helper_commit_modeset_disables
drm_atomic_helper_commit_modeset_enables
drm_atomic_helper_commit_planes
__drm_atomic_helper_connector_destroy_state
drm_atomic_helper_connector_destroy_state
__drm_atomic_helper_connector_duplicate_state
drm_atomic_helper_connector_duplicate_state
__drm_atomic_helper_connector_reset
drm_atomic_helper_connector_reset
__drm_atomic_helper_crtc_destroy_state
__drm_atomic_helper_crtc_duplicate_state
drm_atomic_helper_damage_iter_init
drm_atomic_helper_damage_iter_next
drm_atomic_helper_disable_plane
drm_atomic_helper_page_flip
__drm_atomic_helper_plane_destroy_state
__drm_atomic_helper_plane_duplicate_state
drm_atomic_helper_prepare_planes
__drm_atomic_helper_private_obj_duplicate_state
drm_atomic_helper_resume
drm_atomic_helper_set_config
drm_atomic_helper_shutdown
drm_atomic_helper_suspend
drm_atomic_helper_swap_state
drm_atomic_helper_update_plane
drm_atomic_helper_wait_for_vblanks
drm_atomic_private_obj_fini
drm_atomic_private_obj_init
drm_atomic_state_clear
drm_atomic_state_default_release
__drm_atomic_state_free
drm_atomic_state_init
drm_bridge_attach
drm_calc_timestamping_constants
drm_compat_ioctl
drm_connector_attach_encoder
drm_connector_cleanup
drm_connector_init
drm_connector_register
drm_connector_unregister
drm_connector_update_edid_property
drm_crtc_add_crc_entry
drm_crtc_cleanup
__drm_crtc_commit_free
drm_crtc_commit_wait
drm_crtc_handle_vblank
drm_crtc_init_with_planes
drm_crtc_send_vblank_event
drm_crtc_vblank_get
drm_crtc_vblank_off
drm_crtc_vblank_on
drm_crtc_vblank_put
drm_crtc_wait_one_vblank
___drm_dbg
__drm_debug
drm_detect_monitor_audio
drm_dev_alloc
__drm_dev_dbg
drm_dev_printk
drm_dev_put
drm_dev_register
drm_dev_unregister
drm_display_mode_from_cea_vic
drm_display_mode_to_videomode
drm_edid_duplicate
drm_edid_get_monitor_name
drm_edid_to_sad
drm_encoder_cleanup
drm_encoder_init
__drm_err
drm_format_info
drm_format_info_block_height
drm_format_info_block_width
drm_framebuffer_cleanup
drm_framebuffer_init
drm_framebuffer_lookup
drm_framebuffer_remove
drm_gem_create_mmap_offset
drm_gem_fb_get_obj
drm_gem_handle_create
drm_gem_mmap
drm_gem_mmap_obj
drm_gem_object_free
drm_gem_object_init
drm_gem_object_lookup
drm_gem_object_release
drm_gem_prime_fd_to_handle
drm_gem_prime_handle_to_fd
drm_gem_prime_import
drm_gem_prime_import_dev
drm_gem_private_object_init
drm_gem_vm_close
drm_gem_vm_open
drm_get_edid
drm_helper_hpd_irq_event
drm_helper_mode_fill_fb_struct
drm_helper_probe_single_connector_modes
drm_ioctl
drm_is_current_master
drm_kms_helper_hotplug_event
drm_kms_helper_poll_disable
drm_kms_helper_poll_enable
drm_kms_helper_poll_fini
drmm_mode_config_init
drm_mode_config_cleanup
drm_mode_config_reset
drm_mode_copy
drm_mode_crtc_set_gamma_size
drm_mode_duplicate
drm_mode_equal
drm_mode_object_find
drm_mode_object_put
drm_mode_probed_add
drm_mode_set_crtcinfo
drm_modeset_lock
drm_modeset_lock_single_interruptible
drm_mode_set_name
drm_modeset_unlock
drm_mode_vrefresh
drm_object_attach_property
drm_object_property_set_value
drm_open
drm_panel_add
drm_panel_disable
drm_panel_enable
drm_panel_get_modes
drm_panel_init
drm_panel_prepare
drm_panel_remove
drm_panel_unprepare
drm_plane_cleanup
drm_plane_create_alpha_property
drm_plane_create_blend_mode_property
drm_poll
drm_prime_gem_destroy
drm_prime_get_contiguous_size
drm_printf
__drm_printfn_debug
drm_property_blob_put
drm_property_create_blob
drm_property_create_range
drm_property_lookup_blob
drm_read
drm_release
drm_universal_plane_init
drm_vblank_init
drm_writeback_connector_init
drm_writeback_queue_job
drm_writeback_signal_completion
dst_cache_destroy
dst_cache_get
dst_cache_init
dst_cache_set_ip4
dst_cache_set_ip6
dst_release
dump_stack
em_cpu_get
em_dev_register_perf_domain
enable_irq
enable_percpu_irq
ether_setup
eth_header
eth_header_cache
eth_header_cache_update
eth_header_parse
eth_mac_addr
eth_platform_get_mac_address
ethtool_convert_legacy_u32_to_link_mode
ethtool_convert_link_mode_to_legacy_u32
__ethtool_get_link_ksettings
ethtool_op_get_link
ethtool_op_get_ts_info
eth_type_trans
eth_validate_addr
eventfd_ctx_do_read
eventfd_ctx_fdget
eventfd_ctx_fileget
eventfd_ctx_put
eventfd_ctx_remove_wait_queue
eventfd_signal
extcon_get_edev_by_phandle
extcon_get_state
extcon_set_state_sync
__fdget
fd_install
fget
file_path
filp_close
filp_open_block
_find_first_bit
_find_first_zero_bit
find_get_pid
_find_last_bit
_find_next_and_bit
_find_next_bit
_find_next_zero_bit
find_pid_ns
find_task_by_vpid
find_vma_intersection
find_vpid
finish_wait
firmware_request_nowarn
flush_dcache_page
flush_delayed_fput
flush_delayed_work
flush_work
__flush_workqueue
__folio_lock
__folio_put
folio_wait_bit
follow_pfn
font_vga_8x16
for_each_kernel_tracepoint
fortify_panic
fput
fqdir_exit
fqdir_init
frame_vector_create
frame_vector_destroy
frame_vector_to_pages
frame_vector_to_pfns
free_io_pgtable_ops
free_irq
free_netdev
__free_pages
free_pages
free_pages_exact
free_percpu
free_percpu_irq
freezer_active
freezing_slow_path
freq_qos_add_notifier
freq_qos_add_request
freq_qos_remove_notifier
freq_qos_remove_request
freq_qos_update_request
fs_bio_set
fsg_common_create_luns
fsg_common_set_cdev
fsg_common_set_inquiry_string
fsg_common_set_sysfs
fsg_config_from_params
fwnode_device_is_available
fwnode_get_name
fwnode_graph_get_next_endpoint
fwnode_graph_get_port_parent
fwnode_graph_get_remote_endpoint
fwnode_graph_get_remote_port_parent
fwnode_graph_parse_endpoint
fwnode_handle_get
fwnode_handle_put
fwnode_property_get_reference_args
fwnode_property_present
fwnode_property_read_string
fwnode_property_read_u32_array
fwnode_property_read_u64_array
fwnode_property_read_u8_array
fwnode_typec_mux_get
gcd
generic_device_group
generic_file_llseek
generic_handle_domain_irq
generic_handle_irq
genlmsg_multicast_allns
genlmsg_put
genl_register_family
genl_unregister_family
genphy_resume
gen_pool_add_owner
gen_pool_alloc_algo_owner
gen_pool_avail
gen_pool_best_fit
gen_pool_create
gen_pool_destroy
gen_pool_dma_alloc_align
gen_pool_dma_zalloc
gen_pool_dma_zalloc_align
gen_pool_first_fit_align
gen_pool_for_each_chunk
gen_pool_free_owner
gen_pool_has_addr
gen_pool_set_algo
gen_pool_size
gen_pool_virt_to_phys
get_cpu_device
get_cpu_idle_time
get_cpu_idle_time_us
get_cpu_iowait_time_us
get_device
__get_free_pages
get_governor_parent_kobj
get_kernel_pages
get_net_ns_by_fd
get_net_ns_by_pid
get_pid_task
get_random_bytes
get_random_u16
get_random_u32
__get_random_u32_below
get_random_u64
__get_task_comm
get_task_cred
get_task_mm
get_unused_fd_flags
get_user_ifreq
get_user_pages
get_user_pages_fast
get_user_pages_fast_only
get_user_pages_remote
get_user_pages_unlocked
get_vaddr_frames
get_zeroed_page
gfn_to_pfn_memslot
gic_nonsecure_priorities
gov_attr_set_get
gov_attr_set_init
gov_attr_set_put
governor_sysfs_ops
gpiochip_add_data_with_key
gpiochip_generic_free
gpiochip_generic_request
gpiochip_get_data
gpiochip_lock_as_irq
gpiochip_remove
gpiochip_unlock_as_irq
gpiod_direction_input
gpiod_direction_output
gpiod_direction_output_raw
gpiod_get_raw_value
gpiod_get_value
gpiod_get_value_cansleep
gpiod_set_debounce
gpiod_set_raw_value
gpiod_set_value
gpiod_set_value_cansleep
gpiod_to_irq
gpio_free
gpio_request
gpio_request_one
gpio_to_desc
gre_add_protocol
gre_del_protocol
handle_edge_irq
handle_fasteoi_irq
handle_level_irq
handle_nested_irq
handle_simple_irq
handle_sysrq
have_governor_per_policy
hex2bin
hex_asc
hex_asc_upper
hex_dump_to_buffer
hex_to_bin
hid_add_device
hid_allocate_device
hid_destroy_device
hid_ignore
hid_input_report
hid_parse_report
high_memory
housekeeping_cpumask
housekeeping_overridden
housekeeping_test_cpu
hrtimer_active
hrtimer_cancel
hrtimer_forward
__hrtimer_get_remaining
hrtimer_init
hrtimer_start_range_ns
hrtimer_try_to_cancel
__hw_addr_init
__hw_addr_sync
__hw_addr_unsync
i2c_add_adapter
i2c_del_adapter
i2c_del_driver
i2c_get_adapter
i2c_get_dma_safe_msg_buf
i2c_put_adapter
i2c_put_dma_safe_msg_buf
i2c_register_driver
i2c_smbus_read_byte_data
i2c_smbus_read_i2c_block_data
i2c_smbus_read_word_data
i2c_smbus_write_byte_data
i2c_smbus_write_i2c_block_data
i2c_smbus_write_word_data
i2c_transfer
i2c_transfer_buffer_flags
i2c_unregister_device
i2c_verify_client
I_BDEV
icc_link_create
icc_node_add
icc_node_create
icc_node_del
icc_node_destroy
icc_provider_add
icc_provider_del
icc_put
icc_set_bw
icc_sync_state
ida_alloc_range
ida_destroy
ida_free
idr_alloc
idr_alloc_cyclic
idr_alloc_u32
idr_destroy
idr_find
idr_for_each
idr_get_next
idr_get_next_ul
idr_remove
idr_replace
iio_alloc_pollfunc
iio_buffer_init
iio_buffer_put
iio_channel_get
iio_channel_release
iio_dealloc_pollfunc
iio_device_attach_buffer
iio_device_id
__iio_device_register
iio_device_unregister
iio_get_channel_type
iio_get_time_ns
iio_push_to_buffers
iio_read_channel_attribute
iio_read_channel_processed
iio_read_channel_raw
iio_trigger_notify_done
in6addr_any
inc_zone_page_state
in_egroup_p
inet6_csk_xmit
inet_csk_get_port
inet_frag_destroy
inet_frag_find
inet_frag_kill
inet_frag_queue_insert
inet_frag_reasm_finish
inet_frag_reasm_prepare
inet_frags_fini
inet_frags_init
init_dummy_netdev
init_net
__init_rwsem
init_srcu_struct
__init_swait_queue_head
init_task
init_timer_key
init_user_ns
init_uts_ns
init_wait_entry
__init_waitqueue_head
input_allocate_device
input_close_device
input_event
input_free_device
input_mt_destroy_slots
input_mt_init_slots
input_mt_report_slot_state
input_mt_sync_frame
input_open_device
input_register_device
input_register_handle
input_register_handler
input_reset_device
input_set_abs_params
input_set_capability
input_set_timestamp
input_unregister_device
input_unregister_handle
input_unregister_handler
int_sqrt
iomem_resource
iommu_alloc_resv_region
iommu_dev_disable_feature
iommu_device_register
iommu_device_sysfs_add
iommu_device_sysfs_remove
iommu_device_unregister
iommu_dma_get_resv_regions
iommu_fwspec_add_ids
iommu_fwspec_free
iommu_get_domain_for_dev
iommu_group_alloc
iommu_group_ref_get
iommu_iova_to_phys
iommu_map
iommu_map_sg
iommu_present
iommu_put_resv_regions
iommu_register_device_fault_handler
iommu_report_device_fault
iommu_unmap
ioremap_prot
io_schedule_timeout
iounmap
iov_iter_init
iov_iter_kvec
iov_iter_revert
ip6_dst_hoplimit
__ip_dev_find
ipi_desc_get
ip_local_out
ip_mc_join_group
ip_queue_xmit
ip_route_output_flow
__ip_select_ident
ip_send_check
__ipv6_addr_type
ipv6_dev_find
ipv6_ext_hdr
ipv6_skip_exthdr
ipv6_stub
__irq_apply_affinity_hint
irq_chip_ack_parent
irq_chip_mask_parent
irq_chip_unmask_parent
irq_create_mapping_affinity
irq_create_of_mapping
irq_dispose_mapping
__irq_domain_add
irq_domain_free_irqs_common
irq_domain_get_irq_data
irq_domain_remove
irq_domain_set_info
irq_domain_simple_ops
irq_domain_xlate_onetwocell
irq_domain_xlate_twocell
irq_get_irqchip_state
irq_get_irq_data
irq_modify_status
irq_of_parse_and_map
__irq_resolve_mapping
irq_set_affinity
irq_set_chained_handler_and_data
irq_set_chip
irq_set_chip_and_handler_name
irq_set_chip_data
irq_set_irqchip_state
irq_set_irq_type
irq_set_irq_wake
irq_set_parent
irq_to_desc
irq_work_queue
irq_work_queue_on
irq_work_run
irq_work_sync
is_dma_buf_file
is_vmalloc_addr
iterate_dir
iterate_fd
jiffies
jiffies_64_to_clock_t
jiffies64_to_nsecs
jiffies_to_msecs
jiffies_to_usecs
kasan_flag_enabled
kasprintf
kernel_accept
kernel_bind
kernel_connect
kernel_cpustat
kernel_kobj
kernel_listen
kernel_param_lock
kernel_param_unlock
kernel_power_off
kernel_restart
kernel_sendmsg
kernel_sigaction
kernel_sock_shutdown
kernfs_find_and_get_ns
kernfs_notify
kernfs_path_from_node
kernfs_put
key_create_or_update
key_put
keyring_alloc
__kfifo_alloc
__kfifo_free
__kfifo_from_user
__kfifo_in
__kfifo_init
__kfifo_out
__kfifo_to_user
kfree
kfree_const
kfree_sensitive
kfree_skb_list_reason
kfree_skb_partial
kfree_skb_reason
kimage_vaddr
kimage_voffset
__kmalloc
kmalloc_caches
kmalloc_large
kmalloc_large_node
__kmalloc_node
kmalloc_node_trace
__kmalloc_node_track_caller
kmalloc_trace
kmem_cache_alloc
kmem_cache_create
kmem_cache_create_usercopy
kmem_cache_destroy
kmem_cache_free
kmemdup
kmsg_dump_reason_str
kobject_add
kobject_create_and_add
kobject_del
kobject_get
kobject_init
kobject_init_and_add
kobject_put
kobject_uevent
kobject_uevent_env
krealloc
kstrdup
kstrdup_const
kstrdup_quotable_cmdline
kstrndup
kstrtobool
kstrtobool_from_user
kstrtoint
kstrtoint_from_user
kstrtol_from_user
kstrtoll
kstrtou16
kstrtou16_from_user
kstrtou8
kstrtouint
kstrtouint_from_user
kstrtoul_from_user
kstrtoull
kstrtoull_from_user
ksys_sync_helper
kthread_bind
kthread_bind_mask
kthread_cancel_delayed_work_sync
kthread_cancel_work_sync
kthread_create_on_node
kthread_create_worker
kthread_delayed_work_timer_fn
kthread_destroy_worker
kthread_flush_work
kthread_flush_worker
__kthread_init_worker
kthread_park
kthread_parkme
kthread_queue_delayed_work
kthread_queue_work
kthread_should_park
kthread_should_stop
kthread_stop
kthread_unpark
kthread_worker_fn
ktime_get
ktime_get_coarse_with_offset
ktime_get_mono_fast_ns
ktime_get_raw
ktime_get_raw_ts64
ktime_get_real_ts64
ktime_get_seconds
ktime_get_ts64
ktime_get_with_offset
kvasprintf_const
kvfree
kvfree_call_rcu
kvmalloc_node
led_classdev_flash_register_ext
led_classdev_flash_unregister
led_get_flash_fault
led_init_default_state_get
led_set_brightness_sync
led_set_flash_brightness
led_set_flash_timeout
led_sysfs_disable
led_sysfs_enable
led_trigger_event
led_trigger_register
led_trigger_remove
led_trigger_unregister
led_update_brightness
led_update_flash_brightness
linear_range_get_selector_within
linear_range_get_value
linkwatch_fire_event
__list_add_valid
__list_del_entry_valid
list_sort
__local_bh_enable_ip
lock_sock_nested
log_post_read_mmio
log_post_write_mmio
log_read_mmio
log_threaded_irq_wakeup_reason
log_write_mmio
loops_per_jiffy
mas_empty_area_rev
mas_find
match_hex
match_int
match_token
mbox_chan_received_data
mbox_client_txdone
mbox_controller_register
mbox_controller_unregister
mbox_free_channel
mbox_request_channel
mbox_send_message
mdiobus_alloc_size
mdiobus_free
mdiobus_get_phy
__mdiobus_register
mdiobus_unregister
media_create_ancillary_link
media_create_intf_link
media_create_pad_link
media_device_cleanup
media_device_init
__media_device_register
media_device_unregister
media_devnode_create
media_devnode_remove
__media_entity_next_link
media_entity_pads_init
media_entity_pipeline
media_entity_remove_links
media_pad_remote_pad_first
media_pad_remote_pad_unique
media_pipeline_start
media_pipeline_stop
media_request_get_by_fd
media_request_object_complete
media_request_put
memblock_end_of_DRAM
memchr
memchr_inv
memcmp
memcpy
__memcpy_fromio
__memcpy_toio
memdup_user
memmove
memory_read_from_buffer
memparse
memremap
memscan
mem_section
memset
memset64
__memset_io
memstart_addr
memunmap
migrate_swap
__migrate_task
mipi_dsi_attach
mipi_dsi_dcs_read
mipi_dsi_dcs_write
mipi_dsi_dcs_write_buffer
mipi_dsi_detach
mipi_dsi_driver_register_full
mipi_dsi_driver_unregister
mipi_dsi_generic_read
mipi_dsi_generic_write
mipi_dsi_host_register
mipi_dsi_host_unregister
misc_deregister
misc_register
mktime64
__mmap_lock_do_trace_acquire_returned
__mmap_lock_do_trace_released
__mmap_lock_do_trace_start_locking
mmc_add_host
mmc_alloc_host
mmc_can_gpio_cd
mmc_cmdq_disable
mmc_cmdq_enable
mmc_cqe_request_done
mmc_detect_change
mmc_free_host
mmc_get_card
mmc_get_ext_csd
mmc_gpio_get_cd
mmc_gpio_get_ro
mmc_hw_reset
mmc_of_parse
mmc_put_card
mmc_regulator_get_supply
mmc_regulator_set_ocr
mmc_regulator_set_vqmmc
mmc_remove_host
mmc_request_done
mmc_send_tuning
mmc_set_data_timeout
mmc_switch
mmc_wait_for_req
__mmdrop
mmput
mod_delayed_work_on
mod_node_page_state
mod_timer
mod_timer_pending
__module_get
module_layout
module_put
__module_put_and_kthread_exit
__msecs_to_jiffies
msi_get_virq
msleep
msleep_interruptible
mtree_load
mul_u64_u64_div_u64
__mutex_init
mutex_is_locked
mutex_lock
mutex_lock_interruptible
mutex_lock_killable
mutex_trylock
mutex_unlock
__napi_alloc_skb
napi_complete_done
napi_disable
napi_enable
napi_gro_flush
napi_gro_receive
__napi_schedule
__napi_schedule_irqoff
napi_schedule_prep
__ndisc_fill_addr_option
nd_tbl
neigh_destroy
neigh_lookup
__netdev_alloc_frag_align
__netdev_alloc_skb
netdev_err
netdev_info
netdev_name_in_use
netdev_notice
netdev_printk
netdev_set_default_ethtool_ops
netdev_update_features
netdev_upper_dev_link
netdev_upper_dev_unlink
netdev_warn
netif_carrier_off
netif_carrier_on
netif_device_attach
netif_device_detach
netif_inherit_tso_max
netif_napi_add_weight
__netif_napi_del
netif_receive_skb
netif_receive_skb_list
netif_rx
netif_set_tso_max_size
netif_stacked_transfer_operstate
netif_tx_lock
netif_tx_stop_all_queues
netif_tx_unlock
netif_tx_wake_queue
netlink_broadcast
netlink_capable
__netlink_dump_start
__netlink_kernel_create
netlink_kernel_release
netlink_net_capable
netlink_register_notifier
netlink_unicast
netlink_unregister_notifier
net_namespace_list
net_ns_type_operations
net_ratelimit
net_selftest
net_selftest_get_count
net_selftest_get_strings
nf_conntrack_destroy
nf_register_net_hooks
nf_unregister_net_hooks
nla_find
nla_memcpy
__nla_parse
nla_put
nla_put_64bit
nla_put_nohdr
nla_reserve
nla_strscpy
__nla_validate
__nlmsg_put
nonseekable_open
noop_llseek
nr_cpu_ids
nr_ipi_get
nr_irqs
nr_swap_pages
ns_capable
nsecs_to_jiffies
nsec_to_clock_t
ns_to_kernel_old_timeval
ns_to_timespec64
n_tty_ioctl_helper
__num_online_cpus
nvmem_cell_get
nvmem_cell_put
nvmem_cell_read
nvmem_cell_read_u32
nvmem_cell_read_variable_le_u32
nvmem_cell_write
nvmem_device_get
nvmem_device_put
nvmem_device_read
of_address_to_resource
of_alias_get_id
of_clk_add_provider
of_clk_del_provider
of_clk_get
of_clk_get_by_name
of_clk_get_from_provider
of_clk_get_parent_count
of_clk_src_onecell_get
of_clk_src_simple_get
of_count_phandle_with_args
of_cpu_node_to_id
of_devfreq_cooling_register_power
of_device_get_match_data
of_device_is_available
of_device_is_compatible
of_dma_configure_id
of_dma_controller_free
of_dma_controller_register
of_dma_is_coherent
of_dma_xlate_by_chan_id
of_drm_find_bridge
of_drm_find_panel
of_find_backlight_by_node
of_find_compatible_node
of_find_device_by_node
of_find_matching_node_and_match
of_find_node_by_name
of_find_node_by_phandle
of_find_node_opts_by_path
of_find_node_with_property
of_find_property
of_fwnode_ops
of_genpd_add_device
of_genpd_add_provider_onecell
__of_get_address
of_get_child_by_name
of_get_cpu_node
of_get_named_gpio_flags
of_get_next_available_child
of_get_next_child
of_get_next_parent
of_get_parent
of_get_pci_domain_nr
of_get_property
of_get_regulator_init_data
of_graph_get_next_endpoint
of_graph_get_remote_node
of_graph_get_remote_port_parent
of_icc_get
of_icc_xlate_onecell
of_iomap
of_irq_find_parent
of_irq_get
of_irq_get_byname
of_irq_parse_one
of_irq_to_resource_table
of_machine_is_compatible
of_match_device
of_match_node
of_n_addr_cells
of_n_size_cells
__of_parse_phandle_with_args
of_pci_get_max_link_speed
of_phandle_iterator_init
of_phandle_iterator_next
of_phy_simple_xlate
of_platform_depopulate
of_platform_device_create
of_platform_populate
of_property_count_elems_of_size
of_property_match_string
of_property_read_string
of_property_read_string_helper
of_property_read_u32_index
of_property_read_u64
of_property_read_u64_index
of_property_read_variable_u16_array
of_property_read_variable_u32_array
of_property_read_variable_u64_array
of_property_read_variable_u8_array
of_prop_next_string
of_prop_next_u32
of_remove_property
of_reserved_mem_device_init_by_idx
of_reserved_mem_lookup
of_root
of_thermal_get_trip_points
of_translate_address
on_each_cpu_cond_mask
oops_in_progress
out_of_line_wait_on_bit
out_of_line_wait_on_bit_timeout
overflowuid
page_endio
page_frag_free
page_pinner_inited
__page_pinner_put_page
page_pool_alloc_pages
page_pool_create
page_pool_destroy
page_pool_put_defragged_page
panic
panic_notifier_list
param_array_ops
param_get_bool
param_get_charp
param_get_int
param_get_uint
param_get_ulong
param_ops_bool
param_ops_byte
param_ops_charp
param_ops_int
param_ops_long
param_ops_string
param_ops_uint
param_ops_ullong
param_ops_ulong
param_ops_ushort
param_set_bool
param_set_charp
param_set_uint
param_set_ulong
pci_alloc_irq_vectors_affinity
pci_ats_supported
pci_bus_type
pci_clear_master
pci_device_group
pci_device_is_present
pci_dev_put
pci_disable_ats
pci_disable_device
pcie_capability_clear_and_set_word
pcie_capability_read_word
pci_enable_ats
pci_find_ext_capability
pci_free_irq
pci_free_irq_vectors
pci_generic_config_read32
pci_generic_config_write32
pci_get_slot
pci_host_probe
pci_irq_vector
pci_load_and_free_saved_state
pci_load_saved_state
pci_lock_rescan_remove
pcim_enable_device
pcim_iomap_regions
pcim_iomap_table
pcim_iounmap_regions
pci_msi_create_irq_domain
pci_msi_mask_irq
pci_msi_unmask_irq
pci_pio_to_address
pci_read_config_dword
pci_read_config_word
__pci_register_driver
pci_remove_root_bus
pci_request_irq
pci_restore_state
pci_save_state
pci_set_master
pci_stop_root_bus
pci_store_saved_state
pci_unlock_rescan_remove
pci_unregister_driver
pci_write_config_dword
pci_write_config_word
__percpu_down_read
percpu_down_write
percpu_free_rwsem
__percpu_init_rwsem
__per_cpu_offset
percpu_up_write
perf_event_create_kernel_counter
perf_event_disable
perf_event_enable
perf_event_release_kernel
perf_event_update_userpage
perf_pmu_migrate_context
perf_pmu_register
perf_pmu_unregister
perf_trace_buf_alloc
perf_trace_run_bpf_submit
pfn_is_map_memory
phy_attached_info
phy_connect
phy_disconnect
phy_do_ioctl_running
phy_ethtool_get_link_ksettings
phy_ethtool_nway_reset
phy_ethtool_set_link_ksettings
phy_exit
phy_get
phy_init
phylink_connect_phy
phylink_create
phylink_destroy
phylink_disconnect_phy
phylink_ethtool_get_pauseparam
phylink_ethtool_set_pauseparam
phylink_generic_validate
phylink_resume
phylink_start
phylink_stop
phylink_suspend
phy_power_off
phy_power_on
phy_print_status
phy_put
phy_set_mode_ext
phy_start
phy_stop
phy_suspend
pick_migrate_task
pid_task
pinconf_generic_parse_dt_config
pinctrl_dev_get_drvdata
pinctrl_enable
pinctrl_gpio_direction_input
pinctrl_gpio_direction_output
pinctrl_lookup_state
pinctrl_pm_select_default_state
pinctrl_pm_select_idle_state
pinctrl_pm_select_sleep_state
pinctrl_put
pinctrl_select_state
pinctrl_utils_add_map_configs
pinctrl_utils_free_map
pinctrl_utils_reserve_map
pin_user_pages
pin_user_pages_fast
pin_user_pages_remote
platform_bus_type
platform_device_add
platform_device_add_data
platform_device_add_resources
platform_device_alloc
platform_device_del
platform_device_put
platform_device_register
platform_device_register_full
platform_device_unregister
__platform_driver_probe
__platform_driver_register
platform_driver_unregister
platform_find_device_by_driver
platform_get_irq
platform_get_irq_byname
platform_get_irq_byname_optional
platform_get_irq_optional
platform_get_resource
platform_get_resource_byname
platform_irq_count
platform_msi_domain_alloc_irqs
platform_msi_domain_free_irqs
__platform_register_drivers
platform_unregister_drivers
pm_generic_resume
pm_generic_suspend
pm_genpd_add_device
pm_genpd_add_subdomain
pm_genpd_init
pm_genpd_remove
pm_genpd_remove_device
pm_get_active_wakeup_sources
__pm_relax
pm_relax
pm_runtime_allow
__pm_runtime_disable
pm_runtime_enable
pm_runtime_forbid
pm_runtime_force_resume
pm_runtime_force_suspend
pm_runtime_get_if_active
__pm_runtime_idle
pm_runtime_irq_safe
__pm_runtime_resume
pm_runtime_set_autosuspend_delay
__pm_runtime_set_status
__pm_runtime_suspend
__pm_runtime_use_autosuspend
pm_schedule_suspend
__pm_stay_awake
pm_stay_awake
pm_suspend_default_s2idle
pm_system_wakeup
pm_wakeup_dev_event
pm_wakeup_ws_event
pm_wq
power_supply_changed
power_supply_get_by_name
power_supply_get_drvdata
power_supply_get_property
power_supply_is_system_supplied
power_supply_put
power_supply_register
power_supply_reg_notifier
power_supply_set_property
power_supply_unreg_notifier
preempt_schedule
preempt_schedule_notrace
prepare_to_wait_event
print_hex_dump
_printk
_printk_deferred
__printk_ratelimit
proc_create
proc_create_data
proc_create_net_data
proc_create_net_single
proc_create_seq_private
proc_create_single_data
proc_dointvec_jiffies
proc_dointvec_minmax
proc_doulongvec_minmax
_proc_mkdir
proc_mkdir
proc_mkdir_data
proc_remove
proc_set_user
proto_register
proto_unregister
__pskb_copy_fclone
pskb_expand_head
__pskb_pull_tail
pskb_put
___pskb_trim
pstore_register
pstore_type_to_name
pstore_unregister
put_cmsg
__put_cred
put_device
put_disk
__put_net
put_pid
__put_task_struct
put_unused_fd
put_user_ifreq
put_vaddr_frames
pwm_apply_state
pwmchip_add
pwmchip_remove
queue_delayed_work_on
queue_work_on
radix_tree_delete
radix_tree_gang_lookup
radix_tree_insert
radix_tree_lookup
radix_tree_maybe_preload
radix_tree_next_chunk
radix_tree_tagged
___ratelimit
raw_notifier_call_chain
raw_notifier_chain_register
raw_notifier_chain_unregister
_raw_read_lock
_raw_read_lock_bh
_raw_read_lock_irqsave
_raw_read_trylock
_raw_read_unlock
_raw_read_unlock_bh
_raw_read_unlock_irqrestore
_raw_spin_lock
_raw_spin_lock_bh
_raw_spin_lock_irq
_raw_spin_lock_irqsave
raw_spin_rq_lock_nested
raw_spin_rq_unlock
_raw_spin_trylock
_raw_spin_trylock_bh
_raw_spin_unlock
_raw_spin_unlock_bh
_raw_spin_unlock_irq
_raw_spin_unlock_irqrestore
_raw_write_lock
_raw_write_lock_bh
_raw_write_lock_irqsave
_raw_write_unlock
_raw_write_unlock_bh
_raw_write_unlock_irqrestore
rb_erase
__rb_erase_color
rb_first
rb_first_postorder
__rb_insert_augmented
rb_insert_color
rb_next
rb_next_postorder
rb_prev
rb_replace_node
rcu_barrier
__rcu_read_lock
__rcu_read_unlock
rcuwait_wake_up
rdev_get_dev
rdev_get_drvdata
rdev_get_id
rdev_get_regmap
refcount_dec_and_mutex_lock
refcount_dec_if_one
refcount_warn_saturate
__refrigerator
regcache_cache_only
regcache_mark_dirty
regcache_sync
__register_blkdev
__register_chrdev
register_chrdev_region
register_die_notifier
register_inet6addr_notifier
register_inetaddr_notifier
register_kprobe
register_kretprobe
register_module_notifier
register_netdev
register_netdevice
register_netdevice_notifier
register_net_sysctl
register_oom_notifier
register_pernet_device
register_pernet_subsys
register_pm_notifier
register_reboot_notifier
__register_rpmsg_driver
register_shrinker
register_syscore_ops
register_sysctl_table
register_virtio_device
register_virtio_driver
regmap_bulk_read
regmap_bulk_write
regmap_field_read
regmap_field_update_bits_base
regmap_irq_get_domain
regmap_raw_read
regmap_raw_write
regmap_read
regmap_update_bits_base
regmap_write
regulator_bulk_disable
regulator_bulk_enable
regulator_count_voltages
regulator_disable
regulator_disable_regmap
regulator_enable
regulator_enable_regmap
regulator_get
regulator_get_current_limit_regmap
regulator_get_mode
regulator_get_optional
regulator_get_voltage
regulator_get_voltage_sel_regmap
regulator_is_enabled
regulator_is_enabled_regmap
regulator_list_voltage
regulator_list_voltage_linear
regulator_list_voltage_linear_range
regulator_list_voltage_table
regulator_map_voltage_iterate
regulator_map_voltage_linear
regulator_map_voltage_linear_range
regulator_notifier_call_chain
regulator_put
regulator_set_active_discharge_regmap
regulator_set_current_limit
regulator_set_current_limit_regmap
regulator_set_load
regulator_set_mode
regulator_set_voltage
regulator_set_voltage_sel_regmap
regulator_set_voltage_time
regulator_set_voltage_time_sel
regulator_sync_voltage
release_firmware
release_pages
__release_region
release_sock
remap_pfn_range
remap_vmalloc_range
remove_proc_entry
remove_proc_subtree
remove_wait_queue
request_firmware
request_firmware_direct
request_firmware_nowait
__request_module
__request_percpu_irq
__request_region
request_threaded_irq
resched_curr
reset_control_assert
reset_control_deassert
reset_controller_register
reset_control_reset
rhashtable_destroy
rhashtable_free_and_destroy
rhashtable_init
rhashtable_insert_slow
rhashtable_walk_enter
rhashtable_walk_exit
rhashtable_walk_next
rhashtable_walk_start_check
rhashtable_walk_stop
rhltable_init
__rht_bucket_nested
rht_bucket_nested
rht_bucket_nested_insert
root_task_group
round_jiffies
round_jiffies_relative
round_jiffies_up
rpmsg_create_ept
rpmsg_destroy_ept
rpmsg_find_device
rpmsg_register_device
rpmsg_send
rpmsg_sendto
rpmsg_trysend
rpmsg_unregister_device
rproc_add
rproc_add_subdev
rproc_alloc
rproc_boot
rproc_del
rproc_free
rproc_get_by_phandle
rproc_put
rproc_remove_subdev
rproc_report_crash
rproc_shutdown
rps_needed
rtc_ktime_to_tm
rtc_time64_to_tm
rtc_tm_to_ktime
rtc_tm_to_time64
rtc_update_irq
rtc_valid_tm
rtnl_configure_link
rtnl_create_link
rtnl_is_locked
rtnl_link_register
rtnl_link_unregister
rtnl_lock
rtnl_register_module
rtnl_unlock
rtnl_unregister
rtnl_unregister_all
runqueues
sbitmap_weight
sched_clock
sched_clock_register
sched_feat_keys
sched_setattr
sched_setattr_nocheck
sched_set_fifo
sched_set_fifo_low
sched_set_normal
sched_setscheduler
sched_setscheduler_nocheck
sched_show_task
sched_uclamp_used
schedule
schedule_timeout
schedule_timeout_interruptible
schedule_timeout_uninterruptible
scmi_driver_register
scmi_driver_unregister
scmi_protocol_register
scnprintf
scsi_autopm_get_device
scsi_autopm_put_device
scsi_block_requests
scsi_device_get
scsi_device_put
scsi_execute_cmd
__scsi_iterate_devices
scsi_print_sense_hdr
scsi_unblock_requests
sdio_claim_host
sdio_claim_irq
sdio_disable_func
sdio_enable_func
sdio_f0_readb
sdio_f0_writeb
sdio_get_host_pm_caps
sdio_readb
sdio_readl
sdio_readsb
sdio_register_driver
sdio_release_host
sdio_release_irq
sdio_set_block_size
sdio_set_host_pm_flags
sdio_signal_irq
sdio_unregister_driver
sdio_writeb
sdio_writel
sdio_writesb
security_file_ioctl
security_sk_classify_flow
security_sk_clone
security_sock_graft
select_fallback_rq
send_sig
seq_hex_dump
seq_hlist_next
seq_hlist_start_head
seq_lseek
seq_open
seq_open_private
seq_printf
seq_putc
seq_puts
seq_read
seq_release
seq_release_private
seq_write
serdev_device_close
__serdev_device_driver_register
serdev_device_get_tiocm
serdev_device_open
serdev_device_set_baudrate
serdev_device_set_flow_control
serdev_device_set_tiocm
serdev_device_wait_until_sent
serdev_device_write_buf
serdev_device_write_flush
serial8250_do_set_termios
serial8250_do_shutdown
serial8250_do_startup
serial8250_get_port
serial8250_register_8250_port
serial8250_resume_port
serial8250_rpm_get
serial8250_rpm_put
serial8250_suspend_port
serial8250_unregister_port
set_capacity
set_capacity_and_notify
set_cpus_allowed_ptr
set_freezable
set_next_entity
set_normalized_timespec64
set_page_dirty_lock
__SetPageMovable
set_task_cpu
setup_udp_tunnel_sock
set_user_nice
sg_alloc_table
sg_alloc_table_from_pages_segment
sg_copy_from_buffer
sg_copy_to_buffer
sg_free_table
sg_init_one
sg_init_table
sg_miter_next
sg_miter_start
sg_miter_stop
sg_nents
sg_next
__sg_page_iter_next
__sg_page_iter_start
sg_pcopy_from_buffer
sg_pcopy_to_buffer
shmem_file_setup
si_mem_available
si_meminfo
simple_attr_open
simple_attr_read
simple_attr_release
simple_attr_write
simple_open
simple_read_from_buffer
simple_write_to_buffer
single_open
single_open_size
single_release
sk_alloc
skb_add_rx_frag
skb_checksum_help
skb_clone
skb_clone_sk
skb_complete_wifi_ack
skb_copy
skb_copy_bits
skb_copy_datagram_iter
skb_copy_expand
skb_cow_data
skb_dequeue
skb_ensure_writable
skb_free_datagram
__skb_get_hash
__skb_gso_segment
skb_pull
skb_pull_data
skb_pull_rcsum
skb_push
skb_put
skb_queue_head
skb_queue_purge
skb_queue_tail
skb_realloc_headroom
skb_recv_datagram
skb_scrub_packet
skb_set_owner_w
skb_split
skb_to_sgvec
skb_trim
skb_try_coalesce
skb_tstamp_tx
skb_unlink
sk_capable
sk_common_release
sk_error_report
sk_filter_trim_cap
sk_free
__sk_receive_skb
sk_reset_timer
sk_setup_caps
sk_stop_timer
smp_call_function
smp_call_function_single
smp_call_function_single_async
snd_card_add_dev_attr
snd_ctl_boolean_mono_info
snd_jack_set_key
snd_pcm_format_physical_width
snd_pcm_format_width
snd_pcm_hw_constraint_integer
snd_pcm_hw_constraint_minmax
snd_pcm_hw_constraint_step
snd_pcm_lib_free_pages
snd_pcm_lib_malloc_pages
snd_pcm_lib_preallocate_free_for_all
snd_pcm_lib_preallocate_pages_for_all
snd_pcm_period_elapsed
snd_pcm_set_ops
snd_soc_add_component_controls
snd_soc_bytes_info_ext
snd_soc_bytes_tlv_callback
snd_soc_card_jack_new
snd_soc_component_exit_regmap
snd_soc_component_init_regmap
snd_soc_component_read
snd_soc_component_set_jack
snd_soc_component_update_bits
snd_soc_component_write
snd_soc_dai_set_sysclk
snd_soc_dapm_add_routes
snd_soc_dapm_disable_pin
snd_soc_dapm_enable_pin
snd_soc_dapm_get_enum_double
snd_soc_dapm_get_pin_switch
snd_soc_dapm_get_volsw
snd_soc_dapm_info_pin_switch
snd_soc_dapm_new_controls
snd_soc_dapm_new_widgets
snd_soc_dapm_put_enum_double
snd_soc_dapm_put_pin_switch
snd_soc_dapm_put_volsw
snd_soc_dapm_sync
snd_soc_get_volsw
snd_soc_info_enum_double
snd_soc_info_volsw
snd_soc_jack_report
snd_soc_new_compress
snd_soc_of_get_dai_link_codecs
snd_soc_poweroff
snd_soc_put_volsw
snd_soc_register_component
snd_soc_resume
snd_soc_rtdcom_lookup
snd_soc_set_runtime_hwparams
snd_soc_unregister_component
snprintf
soc_device_register
soc_device_unregister
sock_alloc_send_pskb
sock_cmsg_send
sock_common_getsockopt
sock_common_recvmsg
sock_common_setsockopt
__sock_create
sock_create_kern
sock_diag_register
sock_diag_save_cookie
sock_diag_unregister
sock_efree
sockfd_lookup
sock_gettstamp
sock_i_ino
sock_init_data
sock_i_uid
sock_no_accept
sock_no_bind
sock_no_connect
sock_no_getname
sock_no_ioctl
sock_no_listen
sock_no_mmap
sock_no_recvmsg
sock_no_sendmsg
sock_no_sendpage
sock_no_shutdown
sock_no_socketpair
__sock_queue_rcv_skb
sock_queue_rcv_skb_reason
__sock_recv_cmsgs
sock_recv_errqueue
sock_recvmsg
__sock_recv_timestamp
__sock_recv_wifi_status
sock_register
sock_release
sock_rfree
__sock_tx_timestamp
sock_unregister
sock_wmalloc
sort
spi_add_device
__spi_alloc_controller
spi_alloc_device
spi_bus_type
spi_controller_resume
spi_controller_suspend
spi_delay_to_ns
spi_finalize_current_transfer
spi_mem_default_supports_op
__spi_register_driver
spi_setup
spi_sync
split_page
spmi_controller_add
spmi_controller_alloc
spmi_controller_remove
__spmi_driver_register
sprintf
sprint_symbol
sprint_symbol_no_offset
srcu_init_notifier_head
srcu_notifier_call_chain
srcu_notifier_chain_register
srcu_notifier_chain_unregister
__srcu_read_lock
__srcu_read_unlock
sscanf
__stack_chk_fail
stack_trace_print
stack_trace_save
static_key_slow_dec
static_key_slow_inc
stop_one_cpu
stop_one_cpu_nowait
stpcpy
strcasecmp
strchr
strcmp
strcpy
strcspn
stream_open
strim
strlcat
strlcpy
strlen
strncasecmp
strncat
strncmp
strncpy
strncpy_from_user
strnlen
strnstr
strpbrk
strrchr
strscpy
strscpy_pad
strsep
strspn
strstr
submit_bio
submit_bio_wait
suspend_set_ops
__sw_hweight16
__sw_hweight32
__sw_hweight64
__sw_hweight8
sync_blockdev
sync_file_create
sync_file_get_fence
synchronize_irq
synchronize_net
synchronize_rcu
synchronize_srcu
syscon_node_to_regmap
syscon_regmap_lookup_by_compatible
syscon_regmap_lookup_by_phandle
syscon_regmap_lookup_by_phandle_optional
sysctl_vals
sysfs_create_bin_file
sysfs_create_file_ns
sysfs_create_group
sysfs_create_link
sysfs_emit
sysfs_merge_group
sysfs_notify
sysfs_remove_bin_file
sysfs_remove_file_ns
sysfs_remove_group
sysfs_remove_link
sysfs_streq
sysrq_mask
sysrq_toggle_support
system_freezable_power_efficient_wq
system_freezable_wq
system_highpri_wq
system_long_wq
system_power_efficient_wq
system_unbound_wq
system_wq
sys_tz
task_active_pid_ns
task_cputime_adjusted
__tasklet_hi_schedule
tasklet_init
tasklet_kill
__tasklet_schedule
tasklet_setup
tasklet_unlock_wait
tasklist_lock
__task_pid_nr_ns
__task_rq_lock
task_rq_lock
thermal_cooling_device_unregister
thermal_of_cooling_device_register
thermal_pressure
thermal_zone_device_update
thermal_zone_get_temp
thermal_zone_get_zone_by_name
thread_group_cputime_adjusted
tick_nohz_get_sleep_length
timecounter_init
timecounter_read
timer_of_init
timer_reduce
timer_unstable_counter_workaround
topology_clear_scale_freq_source
topology_update_thermal_pressure
_totalram_pages
_trace_android_vh_record_pcpu_rwsem_starttime
__trace_bprintk
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_printf
trace_event_raw_init
trace_event_reg
trace_handle_return
__traceiter_android_rvh_after_dequeue_task
__traceiter_android_rvh_after_enqueue_task
__traceiter_android_rvh_audio_usb_offload_disconnect
__traceiter_android_rvh_can_migrate_task
__traceiter_android_rvh_check_preempt_wakeup
__traceiter_android_rvh_commit_creds
__traceiter_android_rvh_cpu_cgroup_attach
__traceiter_android_rvh_cpu_cgroup_online
__traceiter_android_rvh_cpu_overutilized
__traceiter_android_rvh_dequeue_task
__traceiter_android_rvh_dequeue_task_fair
__traceiter_android_rvh_enqueue_task
__traceiter_android_rvh_enqueue_task_fair
__traceiter_android_rvh_exit_creds
__traceiter_android_rvh_find_busiest_group
__traceiter_android_rvh_find_busiest_queue
__traceiter_android_rvh_find_energy_efficient_cpu
__traceiter_android_rvh_find_lowest_rq
__traceiter_android_rvh_find_new_ilb
__traceiter_android_rvh_finish_prio_fork
__traceiter_android_rvh_flush_task
__traceiter_android_rvh_get_nohz_timer_target
__traceiter_android_rvh_is_cpu_allowed
__traceiter_android_rvh_new_task_stats
__traceiter_android_rvh_override_creds
__traceiter_android_rvh_prepare_prio_fork
__traceiter_android_rvh_replace_next_task_fair
__traceiter_android_rvh_revert_creds
__traceiter_android_rvh_rtmutex_prepare_setprio
__traceiter_android_rvh_rto_next_cpu
__traceiter_android_rvh_sched_cpu_starting
__traceiter_android_rvh_sched_fork_init
__traceiter_android_rvh_sched_newidle_balance
__traceiter_android_rvh_schedule
__traceiter_android_rvh_select_task_rq_fair
__traceiter_android_rvh_select_task_rq_rt
__traceiter_android_rvh_selinux_avc_insert
__traceiter_android_rvh_selinux_avc_lookup
__traceiter_android_rvh_selinux_avc_node_delete
__traceiter_android_rvh_selinux_avc_node_replace
__traceiter_android_rvh_selinux_is_initialized
__traceiter_android_rvh_set_cpus_allowed_by_task
__traceiter_android_rvh_set_module_core_rw_nx
__traceiter_android_rvh_set_module_init_rw_nx
__traceiter_android_rvh_set_module_permit_after_init
__traceiter_android_rvh_set_module_permit_before_init
__traceiter_android_rvh_setscheduler
__traceiter_android_rvh_set_task_cpu
__traceiter_android_rvh_set_user_nice
__traceiter_android_rvh_tick_entry
__traceiter_android_rvh_try_to_wake_up
__traceiter_android_rvh_try_to_wake_up_success
__traceiter_android_rvh_uclamp_eff_get
__traceiter_android_rvh_update_cpu_capacity
__traceiter_android_rvh_wake_up_new_task
__traceiter_android_vh_alter_futex_plist_add
__traceiter_android_vh_alter_rwsem_list_add
__traceiter_android_vh_arch_set_freq_scale
__traceiter_android_vh_audio_usb_offload_connect
__traceiter_android_vh_binder_restore_priority
__traceiter_android_vh_binder_set_priority
__traceiter_android_vh_binder_transaction_init
__traceiter_android_vh_cgroup_attach
__traceiter_android_vh_cgroup_set_task
__traceiter_android_vh_check_bpf_syscall
__traceiter_android_vh_check_file_open
__traceiter_android_vh_check_mmap_file
__traceiter_android_vh_compaction_exit
__traceiter_android_vh_compaction_try_to_compact_pages_exit
__traceiter_android_vh_cpufreq_fast_switch
__traceiter_android_vh_cpu_idle_enter
__traceiter_android_vh_cpu_idle_exit
__traceiter_android_vh_iommu_iovad_alloc_iova
__traceiter_android_vh_iommu_iovad_free_iova
__traceiter_android_vh_is_fpsimd_save
__traceiter_android_vh_mm_alloc_pages_direct_reclaim_enter
__traceiter_android_vh_mm_alloc_pages_direct_reclaim_exit
__traceiter_android_vh_mm_alloc_pages_may_oom_exit
__traceiter_android_vh_rwsem_init
__traceiter_android_vh_rwsem_wake
__traceiter_android_vh_rwsem_write_finished
__traceiter_android_vh_sched_pelt_multiplier
__traceiter_android_vh_scheduler_tick
__traceiter_android_vh_set_wake_flags
__traceiter_android_vh_show_resume_epoch_val
__traceiter_android_vh_show_suspend_epoch_val
__traceiter_android_vh_syscall_prctl_finished
__traceiter_android_vh_ufs_clock_scaling
__traceiter_android_vh_vmscan_kswapd_done
__traceiter_cpu_frequency
__traceiter_gpu_mem_total
__traceiter_ipi_entry
__traceiter_ipi_raise
__traceiter_mmap_lock_acquire_returned
__traceiter_mmap_lock_released
__traceiter_mmap_lock_start_locking
__traceiter_pelt_rt_tp
__traceiter_pelt_se_tp
__traceiter_sched_update_nr_running_tp
__traceiter_task_newtask
trace_output_call
__tracepoint_android_rvh_after_dequeue_task
__tracepoint_android_rvh_after_enqueue_task
__tracepoint_android_rvh_audio_usb_offload_disconnect
__tracepoint_android_rvh_can_migrate_task
__tracepoint_android_rvh_check_preempt_wakeup
__tracepoint_android_rvh_commit_creds
__tracepoint_android_rvh_cpu_cgroup_attach
__tracepoint_android_rvh_cpu_cgroup_online
__tracepoint_android_rvh_cpu_overutilized
__tracepoint_android_rvh_dequeue_task
__tracepoint_android_rvh_dequeue_task_fair
__tracepoint_android_rvh_enqueue_task
__tracepoint_android_rvh_enqueue_task_fair
__tracepoint_android_rvh_exit_creds
__tracepoint_android_rvh_find_busiest_group
__tracepoint_android_rvh_find_busiest_queue
__tracepoint_android_rvh_find_energy_efficient_cpu
__tracepoint_android_rvh_find_lowest_rq
__tracepoint_android_rvh_find_new_ilb
__tracepoint_android_rvh_finish_prio_fork
__tracepoint_android_rvh_flush_task
__tracepoint_android_rvh_get_nohz_timer_target
__tracepoint_android_rvh_is_cpu_allowed
__tracepoint_android_rvh_new_task_stats
__tracepoint_android_rvh_override_creds
__tracepoint_android_rvh_prepare_prio_fork
__tracepoint_android_rvh_replace_next_task_fair
__tracepoint_android_rvh_revert_creds
__tracepoint_android_rvh_rtmutex_prepare_setprio
__tracepoint_android_rvh_rto_next_cpu
__tracepoint_android_rvh_sched_cpu_starting
__tracepoint_android_rvh_sched_fork_init
__tracepoint_android_rvh_sched_newidle_balance
__tracepoint_android_rvh_schedule
__tracepoint_android_rvh_select_task_rq_fair
__tracepoint_android_rvh_select_task_rq_rt
__tracepoint_android_rvh_selinux_avc_insert
__tracepoint_android_rvh_selinux_avc_lookup
__tracepoint_android_rvh_selinux_avc_node_delete
__tracepoint_android_rvh_selinux_avc_node_replace
__tracepoint_android_rvh_selinux_is_initialized
__tracepoint_android_rvh_set_cpus_allowed_by_task
__tracepoint_android_rvh_set_module_core_rw_nx
__tracepoint_android_rvh_set_module_init_rw_nx
__tracepoint_android_rvh_set_module_permit_after_init
__tracepoint_android_rvh_set_module_permit_before_init
__tracepoint_android_rvh_setscheduler
__tracepoint_android_rvh_set_task_cpu
__tracepoint_android_rvh_set_user_nice
__tracepoint_android_rvh_tick_entry
__tracepoint_android_rvh_try_to_wake_up
__tracepoint_android_rvh_try_to_wake_up_success
__tracepoint_android_rvh_uclamp_eff_get
__tracepoint_android_rvh_update_cpu_capacity
__tracepoint_android_rvh_wake_up_new_task
__tracepoint_android_vh_alter_futex_plist_add
__tracepoint_android_vh_alter_rwsem_list_add
__tracepoint_android_vh_arch_set_freq_scale
__tracepoint_android_vh_audio_usb_offload_connect
__tracepoint_android_vh_binder_restore_priority
__tracepoint_android_vh_binder_set_priority
__tracepoint_android_vh_binder_transaction_init
__tracepoint_android_vh_cgroup_attach
__tracepoint_android_vh_cgroup_set_task
__tracepoint_android_vh_check_bpf_syscall
__tracepoint_android_vh_check_file_open
__tracepoint_android_vh_check_mmap_file
__tracepoint_android_vh_compaction_exit
__tracepoint_android_vh_compaction_try_to_compact_pages_exit
__tracepoint_android_vh_cpufreq_fast_switch
__tracepoint_android_vh_cpu_idle_enter
__tracepoint_android_vh_cpu_idle_exit
__tracepoint_android_vh_iommu_iovad_alloc_iova
__tracepoint_android_vh_iommu_iovad_free_iova
__tracepoint_android_vh_is_fpsimd_save
__tracepoint_android_vh_mm_alloc_pages_direct_reclaim_enter
__tracepoint_android_vh_mm_alloc_pages_direct_reclaim_exit
__tracepoint_android_vh_mm_alloc_pages_may_oom_exit
__tracepoint_android_vh_rwsem_init
__tracepoint_android_vh_rwsem_wake
__tracepoint_android_vh_rwsem_write_finished
__tracepoint_android_vh_sched_pelt_multiplier
__tracepoint_android_vh_scheduler_tick
__tracepoint_android_vh_set_wake_flags
__tracepoint_android_vh_show_resume_epoch_val
__tracepoint_android_vh_show_suspend_epoch_val
__tracepoint_android_vh_syscall_prctl_finished
__tracepoint_android_vh_ufs_clock_scaling
__tracepoint_android_vh_vmscan_kswapd_done
__tracepoint_cpu_frequency
__tracepoint_gpu_mem_total
__tracepoint_ipi_entry
__tracepoint_ipi_raise
__tracepoint_mmap_lock_acquire_returned
__tracepoint_mmap_lock_released
__tracepoint_mmap_lock_start_locking
__tracepoint_pelt_rt_tp
__tracepoint_pelt_se_tp
tracepoint_probe_register
tracepoint_probe_register_prio
tracepoint_probe_unregister
__tracepoint_sched_update_nr_running_tp
tracepoint_srcu
__tracepoint_task_newtask
trace_print_array_seq
trace_print_flags_seq
trace_print_hex_seq
trace_print_symbols_seq
__trace_puts
trace_raw_output_prep
trace_seq_printf
trace_seq_putc
trace_set_clr_event
__trace_trigger_soft_disabled
tracing_off
try_module_get
try_wait_for_completion
__tty_alloc_driver
tty_chars_in_buffer
tty_driver_flush_buffer
tty_driver_kref_put
tty_encode_baud_rate
tty_flip_buffer_push
tty_get_char_size
tty_hangup
__tty_insert_flip_char
tty_insert_flip_string_fixed_flag
tty_kref_put
tty_ldisc_deref
tty_ldisc_flush
tty_ldisc_ref
tty_mode_ioctl
tty_port_close
tty_port_destroy
tty_port_hangup
tty_port_init
tty_port_install
tty_port_open
tty_port_put
tty_port_register_device
tty_port_tty_get
tty_port_tty_hangup
tty_port_tty_wakeup
tty_register_driver
tty_register_ldisc
tty_set_termios
tty_standard_install
tty_std_termios
tty_termios_baud_rate
tty_termios_copy_hw
tty_termios_encode_baud_rate
tty_unregister_device
tty_unregister_driver
tty_unregister_ldisc
tty_unthrottle
tty_vhangup
tty_wakeup
tty_write_room
typec_get_drvdata
typec_mux_get_drvdata
typec_mux_put
typec_mux_register
typec_mux_set
typec_mux_unregister
typec_partner_set_identity
typec_partner_set_pd_revision
typec_partner_set_svdm_version
typec_register_partner
typec_register_port
typec_set_data_role
typec_set_orientation
typec_set_pwr_opmode
typec_set_pwr_role
typec_set_vconn_role
typec_switch_get_drvdata
typec_switch_register
typec_switch_unregister
typec_unregister_partner
typec_unregister_port
uart_get_baud_rate
uart_get_divisor
uart_update_timeout
uclamp_eff_value
__udelay
udp6_set_csum
udp_set_csum
udp_sock_create4
udp_sock_create6
udp_tunnel6_xmit_skb
udp_tunnel_sock_release
udp_tunnel_xmit_skb
ufshcd_config_pwr_mode
ufshcd_delay_us
ufshcd_dme_configure_adapt
ufshcd_dme_get_attr
ufshcd_dme_set_attr
ufshcd_dump_regs
ufshcd_fixup_dev_quirks
ufshcd_get_pwr_dev_param
ufshcd_hba_enable
ufshcd_hba_stop
ufshcd_hold
ufshcd_init_pwr_dev_param
ufshcd_link_recovery
ufshcd_make_hba_operational
ufshcd_mcq_config_mac
ufshcd_mcq_make_queues_operational
ufshcd_mcq_read_cqis
ufshcd_pltfrm_init
ufshcd_query_attr
ufshcd_query_descriptor_retry
ufshcd_query_flag
ufshcd_read_desc_param
ufshcd_release
ufshcd_remove
ufshcd_resume_complete
ufshcd_runtime_resume
ufshcd_runtime_suspend
ufshcd_suspend_prepare
ufshcd_system_resume
ufshcd_system_suspend
ufshcd_uic_change_pwr_mode
ufshcd_uic_hibern8_exit
ufshcd_update_evt_hist
unlock_page
unmap_mapping_range
unpin_user_page
unpin_user_pages
unregister_blkdev
__unregister_chrdev
unregister_chrdev_region
unregister_die_notifier
unregister_inet6addr_notifier
unregister_inetaddr_notifier
unregister_kprobe
unregister_kretprobe
unregister_module_notifier
unregister_netdev
unregister_netdevice_many
unregister_netdevice_notifier
unregister_netdevice_queue
unregister_net_sysctl_table
unregister_oom_notifier
unregister_pernet_device
unregister_pernet_subsys
unregister_pm_notifier
unregister_reboot_notifier
unregister_rpmsg_driver
unregister_shrinker
unregister_sysctl_table
unregister_virtio_device
unregister_virtio_driver
up
update_devfreq
update_rq_clock
up_read
up_write
usb_add_config
usb_add_function
usb_add_gadget_udc
usb_add_hcd
usb_alloc_coherent
usb_alloc_urb
usb_altnum_to_altsetting
usb_anchor_urb
usb_assign_descriptors
usb_autopm_get_interface
usb_autopm_get_interface_async
usb_autopm_get_interface_no_resume
usb_autopm_put_interface
usb_autopm_put_interface_async
usb_clear_halt
usb_composite_probe
usb_composite_unregister
usb_control_msg
usb_control_msg_recv
usb_control_msg_send
usb_copy_descriptors
usb_create_hcd
usb_create_shared_hcd
usb_debug_root
usb_del_gadget_udc
usb_deregister
usb_disabled
usb_driver_claim_interface
usb_driver_release_interface
usb_driver_set_configuration
usb_enable_lpm
usb_ep_alloc_request
usb_ep_autoconfig
usb_ep_dequeue
usb_ep_disable
usb_ep_enable
usb_ep_free_request
usb_ep_queue
usb_ep_set_halt
usb_ep_set_maxpacket_limit
usb_ep_type_string
usb_find_common_endpoints
usb_free_all_descriptors
usb_free_coherent
usb_free_urb
usb_function_register
usb_function_unregister
usb_gadget_connect
usb_gadget_disconnect
usb_gadget_giveback_request
usb_gadget_map_request
usb_gadget_register_driver_owner
usb_gadget_set_selfpowered
usb_gadget_set_state
usb_gadget_unmap_request
usb_get_dev
usb_get_dr_mode
usb_get_from_anchor
usb_get_function
usb_get_function_instance
usb_get_intf
usb_get_maximum_speed
usb_get_role_switch_default_mode
usb_get_urb
usb_gstrings_attach
usb_hcd_check_unlink_urb
usb_hcd_end_port_resume
usb_hcd_giveback_urb
usb_hc_died
usb_hcd_irq
usb_hcd_is_primary_hcd
usb_hcd_link_urb_to_ep
usb_hcd_map_urb_for_dma
usb_hcd_poll_rh_status
usb_hcd_resume_root_hub
usb_hcd_start_port_resume
usb_hcd_unlink_urb_from_ep
usb_hcd_unmap_urb_for_dma
usb_hub_clear_tt_buffer
usb_ifnum_to_if
usb_interface_id
usb_kill_urb
usb_match_id
usb_match_one_id
usb_os_desc_prepare_interf_dir
usb_poison_urb
usb_put_dev
usb_put_function
usb_put_function_instance
usb_put_hcd
usb_put_intf
usb_queue_reset_device
usb_register_driver
usb_remove_function
usb_remove_hcd
usb_reset_configuration
usb_reset_device
usb_role_string
usb_role_switch_get
usb_role_switch_get_drvdata
usb_role_switch_register
usb_role_switch_set_role
usb_role_switch_unregister
usb_root_hub_lost_power
usb_set_interface
usb_show_dynids
usb_speed_string
usb_store_new_id
usb_string
usb_string_id
usb_submit_urb
usb_unlink_urb
usb_unpoison_urb
usb_wakeup_notification
__usecs_to_jiffies
usleep_range_state
uuid_gen
uuid_null
uuid_parse
v4l2_compat_ioctl32
v4l2_ctrl_find
v4l2_ctrl_g_ctrl
v4l2_ctrl_g_ctrl_int64
v4l2_ctrl_handler_free
v4l2_ctrl_handler_init_class
v4l2_ctrl_handler_setup
__v4l2_ctrl_modify_range
v4l2_ctrl_new_custom
v4l2_ctrl_new_std
v4l2_ctrl_new_std_menu
v4l2_ctrl_new_std_menu_items
v4l2_ctrl_request_complete
v4l2_ctrl_request_setup
__v4l2_ctrl_s_ctrl
__v4l2_ctrl_s_ctrl_compound
v4l2_ctrl_subdev_subscribe_event
v4l2_ctrl_subscribe_event
v4l2_device_register
v4l2_device_register_subdev
__v4l2_device_register_subdev_nodes
v4l2_device_unregister
v4l2_device_unregister_subdev
v4l2_event_queue
v4l2_event_queue_fh
v4l2_event_subdev_unsubscribe
v4l2_event_subscribe
v4l2_event_unsubscribe
v4l2_fh_add
v4l2_fh_del
v4l2_fh_exit
v4l2_fh_init
v4l2_fh_is_singular
v4l2_fh_open
__v4l2_find_nearest_size
v4l2_format_info
v4l2_i2c_subdev_init
v4l2_m2m_buf_copy_metadata
v4l2_m2m_buf_queue
v4l2_m2m_buf_remove
v4l2_m2m_ctx_init
v4l2_m2m_ctx_release
v4l2_m2m_dqbuf
v4l2_m2m_fop_mmap
v4l2_m2m_fop_poll
v4l2_m2m_get_curr_priv
v4l2_m2m_get_vq
v4l2_m2m_init
v4l2_m2m_ioctl_create_bufs
v4l2_m2m_ioctl_dqbuf
v4l2_m2m_ioctl_expbuf
v4l2_m2m_ioctl_prepare_buf
v4l2_m2m_ioctl_qbuf
v4l2_m2m_ioctl_querybuf
v4l2_m2m_ioctl_reqbufs
v4l2_m2m_ioctl_streamoff
v4l2_m2m_ioctl_streamon
v4l2_m2m_job_finish
v4l2_m2m_next_buf
v4l2_m2m_qbuf
v4l2_m2m_register_media_controller
v4l2_m2m_release
v4l2_m2m_request_queue
v4l2_m2m_streamoff
v4l2_m2m_try_schedule
v4l2_m2m_unregister_media_controller
v4l2_pipeline_link_notify
v4l2_src_change_event_subscribe
v4l2_subdev_call_wrappers
v4l2_subdev_init
v4l2_subdev_link_validate
v4l2_subdev_link_validate_default
v4l_bound_align_image
vb2_buffer_done
vb2_common_vm_ops
vb2_create_framevec
vb2_destroy_framevec
vb2_dma_contig_memops
vb2_fop_mmap
vb2_fop_poll
vb2_fop_release
vb2_ioctl_create_bufs
vb2_ioctl_dqbuf
vb2_ioctl_expbuf
vb2_ioctl_prepare_buf
vb2_ioctl_qbuf
vb2_ioctl_querybuf
vb2_ioctl_reqbufs
vb2_ioctl_streamoff
vb2_ioctl_streamon
vb2_ops_wait_finish
vb2_ops_wait_prepare
vb2_plane_cookie
vb2_plane_vaddr
vb2_qbuf
vb2_queue_init
vb2_queue_release
vb2_request_object_is_buffer
vb2_request_queue
vb2_request_validate
vchan_dma_desc_free_list
vchan_init
vchan_tx_desc_free
vchan_tx_submit
verify_pkcs7_signature
vfree
vfs_llseek
video_devdata
video_device_alloc
video_device_release
video_device_release_empty
video_ioctl2
__video_register_device
video_unregister_device
virtqueue_add_inbuf
virtqueue_add_outbuf
virtqueue_detach_unused_buf
virtqueue_get_buf
virtqueue_get_vring_size
virtqueue_kick
virtqueue_kick_prepare
virtqueue_notify
vlan_dev_vlan_id
vlan_filter_drop_vids
vlan_filter_push_vids
vlan_ioctl_set
vlan_uses_dev
vlan_vid_add
vlan_vid_del
vmalloc
vmalloc_to_page
vmalloc_to_pfn
vmalloc_user
vmap
vm_event_states
vmf_insert_pfn_prot
vm_get_page_prot
vm_map_ram
vm_node_stat
vm_unmap_ram
vm_zone_stat
vprintk
vring_del_virtqueue
vring_interrupt
vring_new_virtqueue
vscnprintf
vsnprintf
vsprintf
vunmap
vzalloc
wait_for_completion
wait_for_completion_interruptible
wait_for_completion_interruptible_timeout
wait_for_completion_killable
wait_for_completion_killable_timeout
wait_for_completion_state
wait_for_completion_timeout
wait_woken
__wake_up
wake_up_bit
wake_up_if_idle
__wake_up_locked
wake_up_process
wakeup_source_add
wakeup_source_create
wakeup_source_destroy
wakeup_source_register
wakeup_source_remove
wakeup_sources_read_lock
wakeup_sources_read_unlock
wakeup_sources_walk_next
wakeup_sources_walk_start
wakeup_source_unregister
__wake_up_sync_key
__warn_printk
watchdog_init_timeout
watchdog_set_restart_priority
wireless_nlevent_flush
wireless_send_event
woken_wake_function
work_busy
work_on_cpu
__write_overflow_field
ww_mutex_lock
ww_mutex_trylock
ww_mutex_unlock
__xa_alloc
xa_erase
zlib_deflate
zlib_deflateEnd
zlib_deflateInit2
zlib_deflateReset
zlib_deflate_workspacesize
zlib_inflate
zlib_inflateIncomp
zlib_inflateInit2
zlib_inflateReset
zlib_inflate_workspacesize