blob: 4a3170430ca39005417d54d02205a20059600018 [file] [log] [blame]
[abi_symbol_list]
add_timer
add_uevent_var
add_wait_queue
alloc_anon_inode
alloc_chrdev_region
alloc_contig_range
alloc_etherdev_mqs
alloc_netdev_mqs
__alloc_pages
alloc_pages_exact
__alloc_percpu
__alloc_percpu_gfp
__alloc_skb
alloc_workqueue
android_rvh_probe_register
anon_inode_getfd
arc4_crypt
arc4_setkey
__arch_copy_from_user
__arch_copy_to_user
arm64_const_caps_ready
arm64_use_ng_mappings
__arm_smccc_hvc
__arm_smccc_smc
atomic_notifier_call_chain
atomic_notifier_chain_register
atomic_notifier_chain_unregister
autoremove_wake_function
bcmp
bio_endio
bio_end_io_acct_remapped
bio_start_io_acct
bitmap_allocate_region
__bitmap_clear
bitmap_find_next_zero_area_off
bitmap_release_region
__bitmap_set
__blk_alloc_disk
blk_cleanup_disk
blk_queue_flag_clear
blk_queue_flag_set
blk_queue_io_min
blk_queue_io_opt
blk_queue_logical_block_size
blk_queue_max_discard_sectors
blk_queue_max_write_zeroes_sectors
blk_queue_physical_block_size
blocking_notifier_call_chain
blocking_notifier_chain_register
blocking_notifier_chain_unregister
bpf_trace_run10
bpf_trace_run1
bpf_trace_run2
bpf_trace_run3
bpf_trace_run4
bpf_trace_run5
bpf_trace_run6
bpf_trace_run7
bus_for_each_dev
bus_register
bus_register_notifier
bus_unregister
bus_unregister_notifier
call_rcu
cancel_delayed_work
cancel_delayed_work_sync
cancel_work_sync
capable
cdev_add
cdev_del
cdev_device_add
cdev_device_del
cdev_init
__cfi_slowpath_diag
__check_object_size
__class_create
class_create_file_ns
class_destroy
class_find_device
__class_register
class_remove_file_ns
class_unregister
clear_page
__ClearPageMovable
clk_disable
clk_enable
clk_prepare
clk_put
clk_unprepare
cma_for_each_area
cma_get_name
compat_ptr_ioctl
complete
complete_all
complete_and_exit
completion_done
console_lock
console_printk
console_suspend_enabled
console_unlock
__const_udelay
consume_skb
contig_page_data
cpufreq_boost_enabled
cpufreq_cooling_register
cpufreq_cooling_unregister
cpufreq_cpu_get
cpufreq_cpu_get_raw
cpufreq_enable_boost_support
cpufreq_freq_attr_scaling_available_freqs
cpufreq_freq_transition_begin
cpufreq_freq_transition_end
cpufreq_frequency_table_verify
cpufreq_register_driver
cpufreq_register_notifier
cpufreq_table_index_unsorted
__cpuhp_remove_state
__cpuhp_setup_state
__cpuhp_state_add_instance
__cpuhp_state_remove_instance
cpu_hwcap_keys
cpu_hwcaps
cpumask_next
cpumask_next_and
cpu_number
__cpu_online_mask
__cpu_possible_mask
__cpu_present_mask
cpus_read_lock
cpus_read_unlock
crc32_be
crc32_le
crypto_aead_decrypt
crypto_aead_encrypt
crypto_aead_setauthsize
crypto_aead_setkey
crypto_alloc_aead
crypto_alloc_base
crypto_alloc_shash
crypto_alloc_skcipher
crypto_comp_compress
crypto_comp_decompress
crypto_destroy_tfm
crypto_has_alg
__crypto_memneq
crypto_register_alg
crypto_shash_digest
crypto_shash_final
crypto_shash_finup
crypto_shash_setkey
crypto_shash_update
crypto_skcipher_decrypt
crypto_skcipher_encrypt
crypto_skcipher_setkey
__crypto_xor
_ctype
debugfs_create_bool
debugfs_create_dir
debugfs_create_file
debugfs_create_regset32
debugfs_create_u32
debugfs_remove
debugfs_rename
dec_zone_page_state
default_llseek
default_wake_function
delayed_work_timer_fn
del_gendisk
del_timer
del_timer_sync
destroy_workqueue
dev_alloc_name
__dev_change_net_namespace
dev_close
dev_driver_string
_dev_err
dev_fetch_sw_netstats
dev_fwnode
__dev_get_by_index
dev_get_by_index
device_add
device_add_disk
device_create
device_create_file
device_del
device_destroy
device_for_each_child
device_initialize
device_init_wakeup
device_pm_wait_for_dev
device_property_present
device_property_read_string
device_property_read_u32_array
device_register
device_remove_file
device_rename
device_set_wakeup_capable
device_unregister
device_wakeup_enable
_dev_info
__dev_kfree_skb_any
devm_add_action
devm_clk_get_optional
devm_extcon_dev_allocate
devm_extcon_dev_register
devm_extcon_dev_unregister
devm_hwrng_register
devm_ioremap_resource
devm_iounmap
devm_kmalloc
devm_of_phy_get_by_index
devm_phy_get
devm_platform_get_and_ioremap_resource
devm_regulator_bulk_get
devm_regulator_get
devm_regulator_get_optional
devm_request_threaded_irq
__devm_reset_control_get
devm_rtc_allocate_device
__devm_rtc_register_device
devm_usb_get_phy
_dev_notice
dev_pm_opp_find_freq_exact
dev_pm_opp_free_cpufreq_table
dev_pm_opp_get_level
dev_pm_opp_get_opp_count
dev_pm_opp_init_cpufreq_table
dev_pm_opp_of_cpumask_add_table
dev_pm_opp_of_get_sharing_cpus
dev_pm_opp_of_register_em
dev_pm_opp_put
_dev_printk
dev_queue_xmit
dev_set_name
_dev_warn
disable_irq_nosync
disk_end_io_acct
disk_start_io_acct
dma_alloc_attrs
dma_buf_begin_cpu_access
dma_buf_end_cpu_access
dma_buf_export
dma_buf_fd
dma_buf_get
dma_buf_put
dma_buf_vmap
dma_buf_vunmap
dma_contiguous_default_area
dma_fence_default_wait
dma_fence_init
dma_fence_signal
dma_free_attrs
dma_heap_add
dma_heap_buffer_alloc
dma_heap_find
dma_heap_get_dev
dma_heap_get_drvdata
dma_heap_put
dmam_alloc_attrs
dma_map_page_attrs
dma_map_sg_attrs
dma_map_sgtable
dmam_free_coherent
dma_pool_alloc
dma_pool_create
dma_pool_destroy
dma_pool_free
dma_set_coherent_mask
dma_set_mask
dma_sync_sg_for_cpu
dma_sync_sg_for_device
dma_sync_single_for_cpu
dma_sync_single_for_device
dma_unmap_page_attrs
dma_unmap_sg_attrs
do_exit
do_SAK
do_trace_netlink_extack
down
down_interruptible
down_read
down_timeout
down_trylock
down_write
d_path
driver_register
driver_unregister
dump_stack
ehci_init_driver
ehci_resume
ehci_setup
ehci_suspend
enable_irq
ether_setup
eth_mac_addr
ethtool_op_get_link
ethtool_op_get_ts_info
eth_type_trans
eth_validate_addr
event_triggers_call
extcon_set_state_sync
fb_get_options
fb_mode_option
__fdget
fd_install
filp_close
_find_first_bit
_find_next_bit
find_pid_ns
__find_vma
find_vpid
finish_wait
flush_dcache_page
flush_delayed_fput
flush_delayed_work
flush_work
flush_workqueue
follow_pfn
fput
free_contig_range
free_irq
free_netdev
__free_pages
free_pages
free_pages_exact
free_percpu
freezing_slow_path
freq_qos_update_request
fsync_bdev
gcd
genlmsg_multicast_allns
genlmsg_put
genl_register_family
genl_unregister_family
gen_pool_add_owner
gen_pool_alloc_algo_owner
gen_pool_avail
gen_pool_best_fit
gen_pool_create
gen_pool_destroy
gen_pool_for_each_chunk
gen_pool_free_owner
gen_pool_set_algo
gen_pool_size
gen_pool_virt_to_phys
get_cpu_device
__get_free_pages
get_kernel_pages
get_net_ns_by_fd
get_net_ns_by_pid
get_pid_task
get_random_bytes
get_random_u32
get_unused_fd_flags
gic_nonsecure_priorities
gpiochip_add_data_with_key
gpiochip_remove
gpiod_direction_output_raw
gpiod_get_direction
gpio_to_desc
handle_sysrq
hex_dump_to_buffer
hex_to_bin
high_memory
hrtimer_cancel
hrtimer_init
hrtimer_init_sleeper
hrtimer_start_range_ns
hrtimer_try_to_cancel
__hw_addr_init
__hw_addr_sync
__hw_addr_unsync
i2c_adapter_type
i2c_add_adapter
i2c_bus_type
i2c_del_adapter
i2c_for_each_dev
i2c_get_adapter
i2c_put_adapter
i2c_smbus_xfer
i2c_transfer
i2c_transfer_buffer_flags
i2c_verify_client
idr_alloc
idr_destroy
idr_find
idr_for_each
idr_get_next
idr_remove
ignore_console_lock_warning
inc_zone_page_state
in_egroup_p
inet_csk_get_port
init_net
init_pid_ns
init_pseudo
__init_rwsem
__init_swait_queue_head
init_timer_key
init_uts_ns
init_wait_entry
__init_waitqueue_head
input_allocate_device
input_event
input_free_device
input_register_device
input_unregister_device
iomem_resource
ioport_resource
__ioremap
iounmap
iput
irq_get_irq_data
irq_of_parse_and_map
irq_to_desc
is_console_locked
is_vmalloc_addr
jiffies_64
jiffies
jiffies_to_msecs
jiffies_to_usecs
kasan_flag_enabled
kernel_cpustat
kernel_param_lock
kernel_param_unlock
kernel_power_off
kern_mount
kern_unmount
key_create_or_update
key_put
keyring_alloc
__kfifo_alloc
__kfifo_free
__kfifo_in
__kfifo_init
__kfifo_out
__kfifo_to_user
kfree
kfree_sensitive
kfree_skb
kfree_skb_list
kill_anon_super
kimage_vaddr
kimage_voffset
__kmalloc
kmalloc_caches
kmalloc_order_trace
kmem_cache_alloc
kmem_cache_alloc_trace
kmem_cache_create
kmem_cache_destroy
kmem_cache_free
kmemdup
kmsg_dump_get_line
kmsg_dump_rewind
kobject_create_and_add
kobject_put
kobject_uevent_env
kstrdup
kstrtoint
kstrtoll
kstrtou16
kstrtou8
kstrtouint
kstrtoull
kstrtoull_from_user
kthread_create_on_node
kthread_freezable_should_stop
kthread_park
kthread_should_stop
kthread_stop
kthread_unpark
ktime_get
ktime_get_coarse_real_ts64
ktime_get_coarse_with_offset
ktime_get_mono_fast_ns
ktime_get_real_ts64
ktime_get_seconds
ktime_get_with_offset
kvfree
kvfree_call_rcu
kvmalloc_node
__list_add_valid
__list_del_entry_valid
__local_bh_enable_ip
__lock_page
memblock_end_of_DRAM
memchr
memcmp
memcpy
__memcpy_fromio
__memcpy_toio
memdup_user
memmove
memparse
memremap
mem_section
memset64
memset
__memset_io
memstart_addr
memunmap
mii_ethtool_gset
misc_deregister
misc_register
mktime64
__mmap_lock_do_trace_acquire_returned
__mmap_lock_do_trace_released
__mmap_lock_do_trace_start_locking
mmc_add_host
mmc_alloc_host
__mmc_claim_host
mmc_free_host
mmc_get_ext_csd
mmc_release_host
mmc_remove_host
mmc_request_done
mmc_set_data_timeout
mmc_switch
mmc_wait_for_req
mod_delayed_work_on
mod_timer
module_layout
module_put
mpi_alloc
mpi_cmp
mpi_cmp_ui
mpi_free
mpi_get_buffer
mpi_get_nbits
mpi_powm
mpi_read_raw_data
__msecs_to_jiffies
msleep
msleep_interruptible
__mutex_init
mutex_is_locked
mutex_lock
mutex_lock_interruptible
mutex_unlock
name_to_dev_t
napi_complete_done
napi_disable
napi_enable
napi_gro_receive
__napi_schedule
napi_schedule_prep
__netdev_alloc_skb
netdev_err
netdev_info
netdev_set_default_ethtool_ops
netdev_update_features
netif_carrier_off
netif_carrier_on
netif_device_attach
netif_device_detach
netif_napi_add
__netif_napi_del
netif_receive_skb
netif_receive_skb_list
netif_rx
netif_rx_ni
netif_tx_stop_all_queues
netif_tx_wake_queue
netlink_broadcast
netlink_register_notifier
netlink_unicast
netlink_unregister_notifier
net_ns_type_operations
net_ratelimit
nla_find
nla_memcpy
__nla_parse
nla_put_64bit
nla_put
nla_reserve
__nla_validate
no_llseek
nonseekable_open
nr_cpu_ids
nr_irqs
ns_to_kernel_old_timeval
__num_online_cpus
of_address_to_resource
of_clk_get
of_count_phandle_with_args
of_device_is_available
of_device_is_compatible
of_find_compatible_node
of_find_node_by_name
of_find_node_opts_by_path
of_find_property
of_fwnode_ops
__of_get_address
of_get_child_by_name
of_get_named_gpio_flags
of_get_next_child
of_get_property
of_iomap
of_irq_get_byname
of_match_device
of_match_node
of_parse_phandle
of_platform_depopulate
of_platform_populate
of_property_count_elems_of_size
of_property_read_string
of_property_read_string_helper
of_property_read_u32_index
of_property_read_variable_u32_array
of_property_read_variable_u64_array
of_prop_next_u32
oops_in_progress
page_endio
page_mapping
panic
panic_notifier_list
panic_timeout
param_array_ops
param_get_int
param_ops_bool
param_ops_charp
param_ops_int
param_ops_uint
param_ops_ulong
pci_bus_type
__per_cpu_offset
perf_trace_buf_alloc
perf_trace_run_bpf_submit
pfn_is_map_memory
phy_exit
phy_init
phy_power_off
phy_power_on
pid_task
pin_user_pages_fast
platform_bus_type
platform_device_add
platform_device_alloc
platform_device_del
platform_device_put
platform_device_register
platform_device_register_full
platform_device_unregister
__platform_driver_probe
__platform_driver_register
platform_driver_unregister
platform_get_irq
platform_get_resource
pm_power_off
__pm_relax
__pm_runtime_disable
pm_runtime_enable
pm_runtime_forbid
__pm_runtime_idle
__pm_runtime_resume
__pm_runtime_set_status
__pm_runtime_suspend
pm_schedule_suspend
__pm_stay_awake
policy_has_boost_freq
power_supply_changed
power_supply_register
power_supply_unregister
prandom_bytes
preempt_schedule
preempt_schedule_notrace
prepare_to_wait
prepare_to_wait_event
print_hex_dump
_printk
proc_create
proc_create_seq_private
proc_dointvec
proc_mkdir
proc_remove
__pskb_copy_fclone
pskb_expand_head
__pskb_pull_tail
___pskb_trim
put_device
__put_net
__put_page
__put_task_struct
put_unused_fd
pwmchip_add
queue_delayed_work_on
queue_work_on
radix_tree_delete
radix_tree_gang_lookup
radix_tree_gang_lookup_tag
radix_tree_insert
radix_tree_lookup
radix_tree_preload
radix_tree_tag_clear
radix_tree_tag_get
radix_tree_tag_set
___ratelimit
_raw_read_lock
_raw_read_lock_irqsave
_raw_read_unlock
_raw_read_unlock_irqrestore
_raw_spin_lock
_raw_spin_lock_bh
_raw_spin_lock_irq
_raw_spin_lock_irqsave
_raw_spin_trylock
_raw_spin_unlock
_raw_spin_unlock_bh
_raw_spin_unlock_irq
_raw_spin_unlock_irqrestore
_raw_write_lock
_raw_write_lock_irqsave
_raw_write_unlock
_raw_write_unlock_irqrestore
rb_erase
rb_insert_color
rb_next
rb_prev
rcu_barrier
__rcu_read_lock
__rcu_read_unlock
refcount_warn_saturate
__refrigerator
__register_blkdev
__register_chrdev
register_chrdev_region
register_console
register_die_notifier
register_inet6addr_notifier
register_inetaddr_notifier
register_kprobe
register_kretprobe
register_netdev
register_netdevice
register_netdevice_notifier
register_pernet_device
register_pm_notifier
register_reboot_notifier
register_restart_handler
register_shrinker
register_syscore_ops
register_sysctl_table
regulator_bulk_disable
regulator_bulk_enable
regulator_disable
regulator_enable
release_firmware
__release_region
release_resource
remap_pfn_range
remove_proc_entry
remove_wait_queue
request_firmware
request_firmware_nowait
__request_module
__request_region
request_threaded_irq
reset_control_assert
reset_control_deassert
rfkill_alloc
rfkill_blocked
rfkill_destroy
rfkill_register
rfkill_resume_polling
rfkill_set_hw_state_reason
rfkill_unregister
rhashtable_free_and_destroy
rhashtable_insert_slow
rhltable_init
__rht_bucket_nested
rht_bucket_nested
rht_bucket_nested_insert
round_jiffies
round_jiffies_relative
round_jiffies_up
rtc_time64_to_tm
rtnl_is_locked
rtnl_lock
rtnl_unlock
sched_clock
sched_setscheduler
sched_setscheduler_nocheck
schedule
schedule_timeout
scnprintf
send_sig_info
send_sig_mceerr
seq_lseek
seq_printf
seq_puts
seq_read
set_capacity
set_capacity_and_notify
set_freezable
__SetPageMovable
sg_alloc_table
sg_alloc_table_from_pages_segment
sg_free_table
sg_init_one
sg_init_table
sg_next
__sg_page_iter_next
__sg_page_iter_start
simple_strtol
simple_strtoul
simple_strtoull
single_open
single_release
skb_add_rx_frag
skb_checksum_help
skb_clone
skb_clone_sk
skb_complete_wifi_ack
skb_copy
skb_copy_bits
skb_copy_expand
skb_dequeue
skb_ensure_writable
__skb_get_hash
__skb_gso_segment
skb_pull
skb_push
skb_put
skb_queue_head
skb_queue_purge
skb_queue_tail
skb_trim
skb_tstamp_tx
smpboot_register_percpu_thread
smpboot_unregister_percpu_thread
smp_call_function
snd_card_free
snd_card_new
snd_card_register
snd_ctl_add
snd_ctl_new1
snd_hwdep_new
snd_pcm_format_physical_width
snd_pcm_hw_constraint_minmax
snd_pcm_lib_free_pages
snd_pcm_lib_ioctl
snd_pcm_lib_malloc_pages
snd_pcm_new
snd_pcm_period_elapsed
snd_pcm_set_ops
snprintf
__sock_create
sock_release
sort
sprintf
sscanf
__stack_chk_fail
stpcpy
strcasecmp
strcat
strchr
strcmp
strcpy
strlcpy
strlen
strncasecmp
strncat
strncmp
strncpy
strncpy_from_user
strnlen
strrchr
strsep
strstr
__sw_hweight16
__sw_hweight32
__sw_hweight64
__sw_hweight8
sync_file_create
synchronize_irq
synchronize_net
synchronize_rcu
sysfs_create_group
sysfs_create_link
sysfs_emit
__sysfs_match_string
sysfs_notify
sysfs_remove_group
sysfs_remove_link
sysfs_streq
sysrq_mask
system_freezable_wq
system_freezing_cnt
system_power_efficient_wq
system_state
system_wq
sys_tz
task_active_pid_ns
tasklet_init
tasklet_kill
__tasklet_schedule
tasklet_setup
tasklet_unlock_wait
thermal_zone_device_register
thermal_zone_device_unregister
thermal_zone_device_update
thermal_zone_unbind_cooling_device
touch_softlockup_watchdog
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_ignore_this_pid
trace_event_printf
trace_event_raw_init
trace_event_reg
trace_handle_return
__traceiter_android_rvh_gic_v3_set_affinity
__traceiter_android_vh_dmabuf_heap_flags_validation
__traceiter_android_vh_logbuf
__traceiter_mmap_lock_acquire_returned
__traceiter_mmap_lock_released
__traceiter_mmap_lock_start_locking
__tracepoint_android_rvh_gic_v3_set_affinity
__tracepoint_android_vh_dmabuf_heap_flags_validation
__tracepoint_android_vh_logbuf
__tracepoint_mmap_lock_acquire_returned
__tracepoint_mmap_lock_released
__tracepoint_mmap_lock_start_locking
tracepoint_probe_register
trace_print_array_seq
trace_raw_output_prep
try_module_get
tty_flip_buffer_push
tty_termios_baud_rate
tty_termios_encode_baud_rate
uart_add_one_port
uart_console_device
uart_console_write
uart_get_baud_rate
uart_get_divisor
uart_handle_cts_change
uart_handle_dcd_change
uart_insert_char
uart_parse_options
uart_register_driver
uart_remove_one_port
uart_resume_port
uart_set_options
uart_suspend_port
uart_try_toggle_sysrq
uart_update_timeout
uart_write_wakeup
__ubsan_handle_cfi_check_fail_abort
__udelay
unlock_page
unpin_user_pages
unregister_blkdev
__unregister_chrdev
unregister_chrdev_region
unregister_console
unregister_die_notifier
unregister_inet6addr_notifier
unregister_inetaddr_notifier
unregister_kprobe
unregister_kretprobe
unregister_netdev
unregister_netdevice_many
unregister_netdevice_notifier
unregister_netdevice_queue
unregister_pernet_device
unregister_pm_notifier
unregister_reboot_notifier
unregister_shrinker
unregister_sysctl_table
up
up_read
up_write
usb_add_gadget_udc
usb_add_hcd
usb_alloc_coherent
usb_alloc_urb
usb_anchor_urb
usb_autopm_get_interface
usb_autopm_put_interface
usb_bulk_msg
usb_control_msg
__usb_create_hcd
usb_create_hcd
usb_debug_root
usb_del_gadget_udc
usb_deregister
usb_disable_autosuspend
usb_disabled
usb_driver_claim_interface
usb_driver_release_interface
usb_ep_set_maxpacket_limit
usb_free_coherent
usb_free_urb
usb_gadget_giveback_request
usb_gadget_map_request
usb_gadget_set_state
usb_gadget_unmap_request
usb_get_dr_mode
usb_get_from_anchor
usb_hcd_is_primary_hcd
usb_hcd_platform_shutdown
usb_ifnum_to_if
usb_interrupt_msg
usb_kill_anchored_urbs
usb_kill_urb
usb_phy_set_charger_current
usb_put_hcd
usb_register_driver
usb_remove_hcd
usb_role_switch_get_drvdata
usb_role_switch_register
usb_role_switch_unregister
usb_scuttle_anchored_urbs
usb_set_interface
usb_speed_string
usb_submit_urb
usb_unanchor_urb
__usecs_to_jiffies
usleep_range_state
uuid_null
vabits_actual
verify_pkcs7_signature
vfree
vmalloc
vmalloc_to_page
vmalloc_to_pfn
vmap
vm_get_page_prot
vm_iomap_memory
vm_mmap
vm_munmap
vprintk_emit
vsnprintf
vsprintf
vunmap
vzalloc
vzalloc_node
wait_for_completion
wait_for_completion_interruptible
wait_for_completion_interruptible_timeout
wait_for_completion_timeout
__wake_up
wake_up_process
wakeup_source_register
wakeup_source_unregister
__warn_printk
wireless_nlevent_flush
xhci_gen_setup
xhci_init_driver
xhci_resume
xhci_run
xhci_suspend
zs_compact
zs_create_pool
zs_destroy_pool
zs_free
zs_get_total_pages
zs_huge_class_size
zs_malloc
zs_map_object
zs_pool_stats
zs_unmap_object