blob: 2eebd0af94ff730c811eed757db54973ad1bcfa8 [file] [log] [blame]
[abi_symbol_list]
add_cpu
add_timer
add_uevent_var
adjust_managed_page_count
alloc_anon_inode
alloc_chrdev_region
alloc_etherdev_mqs
alloc_netdev_mqs
__alloc_pages
__alloc_percpu
__alloc_percpu_gfp
__alloc_skb
alloc_workqueue
anon_inode_getfd
anon_inode_getfile
arc4_crypt
arc4_setkey
__arch_clear_user
__arch_copy_from_user
__arch_copy_to_user
arm64_const_caps_ready
arm64_use_ng_mappings
__arm_smccc_smc
atomic_notifier_call_chain
atomic_notifier_chain_register
atomic_notifier_chain_unregister
backlight_device_register
backlight_device_unregister
balance_dirty_pages_ratelimited
bcmp
bio_add_page
bio_alloc_bioset
bio_associate_blkg
bio_chain
bio_endio
bio_end_io_acct_remapped
bio_put
bio_start_io_acct
__bitmap_and
__bitmap_andnot
__bitmap_clear
bitmap_free
__bitmap_or
__bitmap_set
__bitmap_shift_left
__bitmap_shift_right
__bitmap_weight
__bitmap_xor
bitmap_zalloc
__blk_alloc_disk
blk_cleanup_disk
blkdev_issue_discard
blk_finish_plug
blk_queue_flag_clear
blk_queue_flag_set
blk_queue_io_min
blk_queue_io_opt
blk_queue_logical_block_size
blk_queue_max_discard_sectors
blk_queue_max_write_zeroes_sectors
blk_queue_physical_block_size
blk_start_plug
__blockdev_direct_IO
blockdev_superblock
blocking_notifier_call_chain
blocking_notifier_chain_register
blocking_notifier_chain_unregister
block_truncate_page
block_write_begin
block_write_end
block_write_full_page
bpf_dispatcher_xdp_func
bpf_master_redirect_enabled_key
bpf_prog_put
bpf_stats_enabled_key
bpf_trace_run10
bpf_trace_run1
bpf_trace_run2
bpf_trace_run3
bpf_trace_run4
bpf_trace_run5
bpf_trace_run6
bpf_trace_run7
bpf_warn_invalid_xdp_action
__bread_gfp
__brelse
bus_register_notifier
bus_unregister_notifier
cache_line_size
call_rcu
cancel_delayed_work
cancel_delayed_work_sync
cancel_work_sync
capable
cdev_add
cdev_alloc
cdev_del
cdev_device_add
cdev_device_del
cdev_init
cec_notifier_set_phys_addr
cec_notifier_set_phys_addr_from_edid
__cfi_slowpath_diag
__check_object_size
__class_create
class_create_file_ns
class_destroy
class_find_device
__class_register
class_remove_file_ns
class_unregister
clean_bdev_aliases
clear_inode
clear_nlink
clear_page
__ClearPageMovable
clk_disable
clk_divider_ops
clk_enable
clk_fixed_factor_ops
clk_gate_ops
clk_get
__clk_get_hw
__clk_get_name
clk_get_parent
clk_get_rate
clk_get_sys
clk_hw_get_flags
clk_hw_get_name
clk_hw_get_num_parents
clk_hw_get_parent
clk_hw_get_parent_by_index
clk_hw_get_rate
clk_hw_is_enabled
clk_hw_round_rate
clk_hw_set_parent
__clk_is_enabled
clk_mux_determine_rate_flags
clk_mux_index_to_val
clk_mux_ops
clk_mux_ro_ops
clk_mux_val_to_index
clk_notifier_register
clk_notifier_unregister
clk_prepare
clk_put
clk_register
clk_register_composite
clk_round_rate
clk_set_parent
clk_set_rate
clk_unprepare
cma_alloc
cma_for_each_area
cma_get_name
cma_release
compat_ptr_ioctl
complete
complete_all
complete_and_exit
completion_done
component_add
component_bind_all
component_del
component_master_add_with_match
component_master_del
component_match_add_release
component_unbind_all
console_printk
__const_udelay
consume_skb
contig_page_data
copy_page_from_iter_atomic
cpu_all_bits
cpu_bit_bitmap
cpufreq_boost_enabled
cpufreq_generic_attr
cpufreq_generic_frequency_table_verify
cpufreq_generic_suspend
cpufreq_get_policy
cpufreq_register_driver
cpufreq_unregister_driver
cpu_have_feature
cpu_hotplug_disable
cpu_hotplug_enable
__cpuhp_remove_state
__cpuhp_setup_state
__cpuhp_state_add_instance
__cpuhp_state_remove_instance
cpu_hwcap_keys
cpu_hwcaps
cpumask_next
cpumask_next_and
cpu_number
__cpu_online_mask
__cpu_possible_mask
cpus_read_lock
cpus_read_unlock
cpu_subsys
cpu_topology
crc32_be
crc32_le
create_empty_buffers
crypto_aead_decrypt
crypto_aead_encrypt
crypto_aead_setauthsize
crypto_aead_setkey
crypto_ahash_digest
crypto_ahash_setkey
crypto_alloc_aead
crypto_alloc_ahash
crypto_alloc_base
crypto_alloc_shash
crypto_alloc_skcipher
crypto_cipher_encrypt_one
crypto_cipher_setkey
crypto_comp_compress
crypto_comp_decompress
crypto_dequeue_request
crypto_destroy_tfm
crypto_enqueue_request
crypto_has_alg
crypto_init_queue
__crypto_memneq
crypto_register_ahash
crypto_register_shash
crypto_register_skcipher
crypto_sha1_finup
crypto_sha1_update
crypto_shash_digest
crypto_shash_final
crypto_shash_finup
crypto_shash_setkey
crypto_shash_update
crypto_skcipher_decrypt
crypto_skcipher_encrypt
crypto_skcipher_setkey
crypto_unregister_ahash
crypto_unregister_shash
crypto_unregister_skcipher
__crypto_xor
csum_ipv6_magic
csum_partial
_ctype
current_time
current_umask
debugfs_attr_read
debugfs_attr_write
debugfs_create_blob
debugfs_create_bool
debugfs_create_dir
debugfs_create_file
debugfs_create_u32
debugfs_create_u64
debugfs_remove
debugfs_rename
dec_zone_page_state
default_llseek
delayed_work_timer_fn
del_gendisk
del_timer
del_timer_sync
dentry_path_raw
des_expand_key
destroy_workqueue
dev_alloc_name
__dev_change_net_namespace
dev_close
dev_driver_string
_dev_err
dev_err_probe
dev_fetch_sw_netstats
dev_fwnode
__dev_get_by_index
dev_get_by_index
dev_get_by_name
dev_get_regmap
device_add
device_add_disk
device_create
device_create_file
device_create_managed_software_node
device_del
device_destroy
device_for_each_child
device_get_child_node_count
device_get_match_data
device_get_phy_mode
device_initialize
device_init_wakeup
device_link_add
device_link_del
device_property_present
device_property_read_u32_array
device_register
device_remove_file
device_rename
__device_reset
device_set_wakeup_capable
device_set_wakeup_enable
device_unregister
_dev_info
__dev_kfree_skb_any
devm_add_action
devm_alloc_etherdev_mqs
devm_clk_get
devm_clk_get_optional
devm_clk_hw_register
devm_clk_hw_register_clkdev
devm_clk_put
devm_clk_register
devm_extcon_dev_allocate
devm_extcon_dev_register
devm_free_irq
devm_gpiod_get
devm_gpiod_get_index
devm_gpiod_get_optional
devm_gpiod_put
devm_gpio_request
devm_gpio_request_one
devm_hwrng_register
devm_iio_channel_get
devm_iio_device_alloc
devm_input_allocate_device
devm_ioremap
devm_ioremap_resource
devm_iounmap
devm_kasprintf
devm_kfree
devm_kmalloc
devm_kstrdup
devm_kvasprintf
devm_nvmem_cell_get
devm_of_clk_add_hw_provider
devm_of_pwm_get
devm_pci_remap_cfg_resource
devm_phy_get
devm_pinctrl_get
devm_pinctrl_put
devm_pinctrl_register
devm_platform_ioremap_resource
devm_platform_ioremap_resource_byname
devm_pwm_get
devm_regmap_field_alloc
__devm_regmap_init_i2c
__devm_regmap_init_mmio_clk
devm_regulator_get
devm_regulator_get_optional
devm_regulator_put
devm_regulator_register
__devm_release_region
__devm_request_region
devm_request_threaded_irq
__devm_reset_control_get
devm_reset_controller_register
devm_rtc_allocate_device
__devm_rtc_register_device
devm_snd_soc_register_card
devm_snd_soc_register_component
devm_spi_register_controller
devm_thermal_zone_of_sensor_register
devm_usb_get_phy
devm_usb_get_phy_by_phandle
devm_watchdog_register_device
_dev_notice
dev_open
dev_pm_clear_wake_irq
dev_pm_domain_attach_by_id
dev_pm_domain_attach_by_name
dev_pm_domain_detach
dev_pm_opp_find_freq_ceil
dev_pm_opp_free_cpufreq_table
dev_pm_opp_get_opp_count
dev_pm_opp_get_opp_table
dev_pm_opp_get_voltage
dev_pm_opp_init_cpufreq_table
dev_pm_opp_of_add_table
dev_pm_opp_of_add_table_indexed
dev_pm_opp_of_cpumask_remove_table
dev_pm_opp_of_register_em
dev_pm_opp_of_remove_table
dev_pm_opp_put
dev_pm_opp_put_opp_table
dev_pm_opp_put_regulators
dev_pm_opp_set_regulators
dev_pm_set_wake_irq
_dev_printk
dev_queue_xmit
devres_add
__devres_alloc_node
devres_free
dev_set_name
_dev_warn
d_find_alias
d_instantiate
disable_irq
disable_irq_nosync
discard_new_inode
disk_end_io_acct
disk_start_io_acct
divider_get_val
divider_recalc_rate
divider_ro_round_rate_parent
divider_round_rate_parent
dma_alloc_attrs
dma_buf_attach
dma_buf_begin_cpu_access
dma_buf_detach
dma_buf_end_cpu_access
dma_buf_export
dma_buf_fd
dma_buf_get
dma_buf_map_attachment
dma_buf_mmap
dmabuf_page_pool_alloc
dmabuf_page_pool_create
dmabuf_page_pool_destroy
dmabuf_page_pool_free
dma_buf_put
dma_buf_unmap_attachment
dma_buf_vmap
dma_buf_vunmap
dma_contiguous_default_area
dma_fence_add_callback
dma_fence_context_alloc
dma_fence_default_wait
dma_fence_free
dma_fence_get_status
dma_fence_init
dma_fence_release
dma_fence_remove_callback
dma_fence_signal
dma_fence_signal_locked
dma_fence_wait_timeout
dma_free_attrs
dma_heap_add
dma_heap_buffer_alloc
dma_heap_find
dma_heap_get_dev
dma_heap_get_drvdata
dma_heap_get_name
d_make_root
dmam_alloc_attrs
dma_map_page_attrs
dma_map_sg_attrs
dma_map_sgtable
dmam_free_coherent
dma_resv_add_excl_fence
dma_set_coherent_mask
dma_set_mask
dma_sync_sg_for_cpu
dma_sync_sg_for_device
dma_sync_single_for_cpu
dma_sync_single_for_device
dma_unmap_page_attrs
dma_unmap_sg_attrs
d_obtain_alias
do_SAK
do_trace_netlink_extack
do_traversal_all_lruvec
down
downgrade_write
down_interruptible
down_read
down_timeout
down_trylock
down_write
down_write_trylock
d_path
dput
dql_completed
dql_reset
driver_unregister
drm_add_modes_noedid
drm_atomic_add_affected_connectors
drm_atomic_commit
drm_atomic_get_connector_state
drm_atomic_get_crtc_state
drm_atomic_get_new_connector_for_encoder
drm_atomic_get_plane_state
drm_atomic_get_private_obj_state
drm_atomic_helper_async_commit
drm_atomic_helper_check
drm_atomic_helper_cleanup_planes
drm_atomic_helper_commit_cleanup_done
drm_atomic_helper_commit_tail
drm_atomic_helper_commit_tail_rpm
__drm_atomic_helper_connector_destroy_state
drm_atomic_helper_connector_destroy_state
__drm_atomic_helper_connector_duplicate_state
drm_atomic_helper_connector_duplicate_state
__drm_atomic_helper_connector_reset
drm_atomic_helper_connector_reset
__drm_atomic_helper_crtc_destroy_state
__drm_atomic_helper_crtc_duplicate_state
drm_atomic_helper_disable_plane
drm_atomic_helper_page_flip
__drm_atomic_helper_plane_destroy_state
__drm_atomic_helper_plane_duplicate_state
__drm_atomic_helper_plane_reset
drm_atomic_helper_prepare_planes
__drm_atomic_helper_private_obj_duplicate_state
drm_atomic_helper_resume
drm_atomic_helper_set_config
drm_atomic_helper_suspend
drm_atomic_helper_swap_state
drm_atomic_helper_update_plane
drm_atomic_helper_wait_for_dependencies
drm_atomic_helper_wait_for_fences
drm_atomic_private_obj_init
drm_atomic_set_crtc_for_connector
drm_atomic_set_crtc_for_plane
drm_atomic_set_fb_for_plane
drm_atomic_set_mode_for_crtc
drm_atomic_set_mode_prop_for_crtc
drm_atomic_state_alloc
drm_atomic_state_clear
__drm_atomic_state_free
drm_compat_ioctl
drm_connector_attach_content_protection_property
drm_connector_attach_content_type_property
drm_connector_attach_encoder
drm_connector_attach_max_bpc_property
drm_connector_attach_vrr_capable_property
drm_connector_cleanup
drm_connector_init
drm_connector_list_iter_begin
drm_connector_list_iter_next
drm_connector_set_vrr_capable_property
drm_connector_unregister
drm_connector_update_edid_property
drm_crtc_accurate_vblank_count
drm_crtc_add_crc_entry
drm_crtc_arm_vblank_event
drm_crtc_cleanup
__drm_crtc_commit_free
drm_crtc_enable_color_mgmt
drm_crtc_from_index
drm_crtc_handle_vblank
drm_crtc_init_with_planes
drm_crtc_send_vblank_event
drm_crtc_vblank_get
drm_crtc_vblank_helper_get_vblank_timestamp
drm_crtc_vblank_off
drm_crtc_vblank_on
__drm_dbg
drm_debugfs_create_files
drm_dev_alloc
drm_dev_put
drm_dev_register
drm_dev_unregister
drm_encoder_cleanup
drm_encoder_init
__drm_err
drm_format_info
drm_framebuffer_cleanup
drm_framebuffer_init
drm_framebuffer_lookup
drm_gem_cma_vm_ops
drm_gem_create_mmap_offset
drm_gem_free_mmap_offset
drm_gem_handle_create
drm_gem_handle_delete
drm_gem_mmap
drm_gem_object_free
drm_gem_object_init
drm_gem_object_lookup
drm_gem_object_release
drm_gem_prime_export
drm_gem_prime_fd_to_handle
drm_gem_prime_handle_to_fd
drm_gem_prime_import
drm_gem_prime_mmap
drm_gem_vm_close
drm_hdcp_update_content_protection
drm_helper_hpd_irq_event
drm_helper_mode_fill_fb_struct
drm_helper_probe_single_connector_modes
drm_ioctl
drm_kms_helper_hotplug_event
drm_kms_helper_poll_disable
drm_kms_helper_poll_enable
drm_kms_helper_poll_fini
drm_kms_helper_poll_init
drmm_mode_config_init
drm_mode_config_cleanup
drm_mode_config_reset
drm_mode_create
drm_mode_crtc_set_gamma_size
drm_mode_debug_printmodeline
drm_mode_duplicate
drm_mode_get_hv_timing
drm_mode_object_find
drm_mode_object_put
drm_mode_probed_add
drm_modeset_acquire_fini
drm_modeset_acquire_init
drm_modeset_backoff
drm_mode_set_crtcinfo
drm_modeset_drop_locks
drm_modeset_lock
drm_modeset_lock_all
drm_modeset_unlock
drm_modeset_unlock_all
drm_mode_vrefresh
drm_object_attach_property
drm_open
drm_plane_cleanup
drm_plane_create_alpha_property
drm_plane_create_blend_mode_property
drm_plane_create_rotation_property
drm_plane_create_zpos_property
drm_poll
drm_prime_gem_destroy
drm_printf
drm_property_add_enum
drm_property_blob_get
drm_property_blob_put
drm_property_create
drm_property_create_bool
drm_property_create_enum
drm_property_create_range
drm_property_destroy
drm_property_lookup_blob
drm_property_replace_blob
drm_read
drm_release
drm_self_refresh_helper_update_avg_times
drm_universal_plane_init
drm_vblank_init
drm_writeback_connector_init
drm_writeback_queue_job
drm_writeback_signal_completion
drop_nlink
d_splice_alias
dump_stack
dw_pcie_find_capability
dw_pcie_host_deinit
dw_pcie_host_init
dw_pcie_own_conf_map_bus
dw_pcie_read_dbi
dw_pcie_setup_rc
dw_pcie_wait_for_link
dw_pcie_write_dbi
enable_irq
end_buffer_read_sync
ether_setup
eth_header
eth_header_parse
eth_mac_addr
ethtool_convert_legacy_u32_to_link_mode
ethtool_convert_link_mode_to_legacy_u32
ethtool_op_get_link
ethtool_op_get_ts_info
eth_type_trans
eth_validate_addr
event_triggers_call
extcon_dev_register
extcon_set_state
extcon_set_state_sync
fasync_helper
fault_in_iov_iter_readable
__fdget
fd_install
fget
fiemap_fill_next_extent
fiemap_prep
filemap_fdatawait_range
filemap_fdatawrite
filemap_fdatawrite_range
filemap_flush
filemap_write_and_wait_range
file_remove_privs
file_update_time
_find_first_bit
_find_first_zero_bit
find_get_pid
_find_last_bit
_find_next_bit
__find_vma
find_vpid
finish_wait
flow_block_cb_setup_simple
flow_rule_match_basic
flow_rule_match_ipv4_addrs
flow_rule_match_ports
flow_rule_match_vlan
flush_dcache_page
flush_delayed_work
flush_work
flush_workqueue
flush_signals
fpsimd_context_busy
fput
free_irq
free_netdev
__free_pages
free_pages
free_percpu
freezing_slow_path
fs_bio_set
fs_param_is_string
fs_param_is_u32
__fs_parse
fsync_bdev
fwnode_property_present
gcd
generic_block_bmap
generic_fh_to_dentry
generic_fh_to_parent
generic_file_fsync
generic_file_llseek
generic_file_mmap
generic_file_open
generic_file_read_iter
generic_file_splice_read
__generic_file_write_iter
generic_fillattr
generic_permission
generic_read_dir
generic_write_checks
generic_write_end
genlmsg_multicast_allns
genlmsg_put
genl_register_family
genl_unregister_family
genphy_aneg_done
genphy_read_status
genphy_restart_aneg
genphy_resume
genphy_soft_reset
genphy_suspend
genphy_update_link
gen_pool_add_owner
gen_pool_alloc_algo_owner
gen_pool_avail
gen_pool_best_fit
gen_pool_create
gen_pool_destroy
gen_pool_free_owner
gen_pool_set_algo
gen_pool_size
gen_pool_virt_to_phys
__getblk_gfp
get_cpu_device
get_cpu_idle_time_us
get_cpu_iowait_time_us
get_device
get_device_system_crosststamp
__get_free_pages
get_net_ns_by_fd
get_net_ns_by_pid
get_random_bytes
get_random_u32
get_random_u64
get_tree_bdev
get_unused_fd_flags
get_user_pages
get_user_pages_fast
get_zeroed_page
gic_nonsecure_priorities
gpiochip_add_data_with_key
gpiochip_generic_config
gpiochip_generic_free
gpiochip_generic_request
gpiochip_get_data
gpiod_direction_input
gpiod_direction_output
gpiod_direction_output_raw
gpiod_get
gpiod_get_index
gpiod_get_raw_value
gpiod_get_raw_value_cansleep
gpiod_get_value
gpiod_get_value_cansleep
gpiod_put
gpiod_set_raw_value
gpiod_set_raw_value_cansleep
gpiod_set_value
gpiod_set_value_cansleep
gpiod_to_irq
gpio_free
gpio_request
gpio_to_desc
grab_cache_page_write_begin
handle_sysrq
hdmi_audio_infoframe_init
hdmi_audio_infoframe_pack
hdmi_avi_infoframe_init
hdmi_avi_infoframe_pack
hdmi_drm_infoframe_init
hdmi_drm_infoframe_pack
hdmi_infoframe_unpack
hdmi_spd_infoframe_pack
hdmi_vendor_infoframe_init
hdmi_vendor_infoframe_pack
hex2bin
hex_asc
hex_dump_to_buffer
high_memory
hrtimer_active
hrtimer_cancel
hrtimer_forward
hrtimer_init
hrtimer_start_range_ns
__hw_addr_init
__hw_addr_sync
__hw_addr_unsync
i2c_adapter_type
i2c_add_adapter
i2c_bus_type
i2c_del_adapter
i2c_del_driver
i2c_for_each_dev
i2c_get_adapter
i2c_new_client_device
i2c_parse_fw_timings
i2c_put_adapter
i2c_register_driver
i2c_smbus_read_byte_data
i2c_smbus_write_byte_data
i2c_smbus_xfer
i2c_transfer
i2c_transfer_buffer_flags
i2c_unregister_device
i2c_verify_client
I_BDEV
ida_alloc_range
ida_free
idr_alloc
idr_destroy
idr_find
idr_for_each
idr_get_next
idr_remove
idr_replace
iget5_locked
iget_failed
ihold
iio_buffer_init
iio_buffer_put
iio_device_attach_buffer
__iio_device_register
iio_device_unregister
iio_get_time_ns
iio_push_to_buffers
iio_read_channel_processed
ilookup
inc_nlink
inc_zone_page_state
inet_csk_get_port
init_net
init_pseudo
__init_rwsem
init_special_inode
__init_swait_queue_head
init_task
init_timer_key
init_uts_ns
init_wait_entry
__init_waitqueue_head
inode_dio_wait
inode_get_bytes
inode_init_once
inode_init_owner
inode_needs_sync
inode_nohighmem
inode_set_bytes
input_allocate_device
input_event
input_free_device
input_register_device
input_set_capability
input_unregister_device
insert_inode_locked
invalidate_bdev
invalidate_inode_buffers
iomem_resource
__ioremap
ioremap_cache
iounmap
iov_iter_revert
iov_iter_zero
iput
__ipv6_addr_type
irq_chip_eoi_parent
irq_chip_mask_parent
irq_chip_retrigger_hierarchy
irq_chip_set_affinity_parent
irq_chip_set_type_parent
irq_chip_unmask_parent
irq_create_fwspec_mapping
irq_domain_alloc_irqs_parent
irq_domain_create_hierarchy
irq_domain_free_irqs_parent
irq_domain_get_irq_data
irq_domain_set_hwirq_and_chip
irq_find_matching_fwspec
irq_of_parse_and_map
irq_set_affinity_hint
irq_set_irq_wake
irq_stat
irq_to_desc
is_bad_inode
is_vmalloc_addr
iter_file_splice_write
iwe_stream_add_event
iwe_stream_add_point
iwe_stream_add_value
jiffies_64
jiffies
jiffies_to_msecs
jiffies_to_usecs
kasan_flag_enabled
kasprintf
kernel_cpustat
kernel_neon_begin
kernel_neon_end
kernel_param_lock
kernel_param_unlock
kernel_sigaction
kern_mount
kern_unmount
key_create_or_update
key_put
keyring_alloc
__kfifo_alloc
__kfifo_free
__kfifo_from_user
__kfifo_in
__kfifo_init
__kfifo_in_r
__kfifo_out
__kfifo_out_peek
__kfifo_out_r
__kfifo_to_user
kfree
kfree_link
kfree_sensitive
kfree_skb
kfree_skb_list
kill_anon_super
kill_block_super
kill_fasync
kill_pid
kimage_voffset
__kmalloc
kmalloc_caches
kmalloc_order_trace
kmem_cache_alloc
kmem_cache_alloc_trace
kmem_cache_create
kmem_cache_destroy
kmem_cache_free
kmemdup
kobject_init_and_add
kobject_put
kobject_uevent
kobject_uevent_env
krealloc
kstrdup
kstrtobool
kstrtobool_from_user
kstrtoint
kstrtoll
kstrtos8
kstrtou16
kstrtou8
kstrtou8_from_user
kstrtouint
kstrtouint_from_user
kstrtoull
kthread_create_on_node
__kthread_init_worker
kthread_queue_work
kthread_should_stop
kthread_stop
kthread_worker_fn
ktime_get
ktime_get_coarse_with_offset
ktime_get_mono_fast_ns
ktime_get_raw
ktime_get_raw_ts64
ktime_get_real_seconds
ktime_get_real_ts64
ktime_get_seconds
ktime_get_ts64
ktime_get_with_offset
kvfree
kvfree_call_rcu
kvmalloc_node
led_classdev_register_ext
led_classdev_unregister
led_trigger_blink_oneshot
led_trigger_register_simple
led_trigger_unregister_simple
__list_add_valid
__list_del_entry_valid
ll_rw_block
load_nls
load_nls_default
__local_bh_enable_ip
__lock_buffer
__lock_page
lockref_get
logfc
loops_per_jiffy
mac_pton
make_bad_inode
mangle_path
mark_buffer_dirty
__mark_inode_dirty
mbox_chan_received_data
mbox_controller_register
mbox_controller_unregister
mbox_free_channel
mbox_request_channel
mbox_send_message
mdiobus_alloc_size
mdiobus_free
mdiobus_get_phy
mdiobus_read
mdiobus_unregister
mdiobus_write
mdio_device_create
mdio_device_free
memcmp
memcpy
__memcpy_fromio
__memcpy_toio
memdup_user
memmove
memparse
mem_section
memset64
memset
__memset_io
memstart_addr
misc_deregister
misc_register
__mmap_lock_do_trace_acquire_returned
__mmap_lock_do_trace_released
__mmap_lock_do_trace_start_locking
mmc_add_host
mmc_alloc_host
mmc_card_is_blockaddr
__mmc_claim_host
mmc_detect_change
mmc_free_host
mmc_gpio_get_cd
mmc_of_parse
mmc_regulator_get_supply
mmc_regulator_set_ocr
mmc_regulator_set_vqmmc
mmc_release_host
mmc_remove_host
mmc_request_done
mmc_send_tuning
mmc_set_data_timeout
mmc_switch
mmc_sw_reset
mmc_wait_for_cmd
mmc_wait_for_req
__mmdrop
mod_delayed_work_on
mod_node_page_state
mod_timer
module_layout
module_put
mpage_readahead
mpage_readpage
mpage_writepages
__msecs_to_jiffies
msleep
msleep_interruptible
__mutex_init
mutex_is_locked
mutex_lock
mutex_lock_interruptible
mutex_trylock
mutex_unlock
names_cachep
__napi_alloc_skb
napi_complete_done
napi_disable
napi_enable
napi_gro_receive
__napi_schedule
napi_schedule_prep
netdev_alert
__netdev_alloc_skb
netdev_err
netdev_info
netdev_pick_tx
netdev_rss_key_fill
netdev_set_default_ethtool_ops
netdev_update_features
netdev_warn
netif_carrier_off
netif_carrier_on
netif_device_attach
netif_device_detach
netif_napi_add
__netif_napi_del
netif_receive_skb
netif_receive_skb_list
netif_rx
netif_rx_ni
netif_schedule_queue
netif_set_real_num_rx_queues
netif_set_real_num_tx_queues
netif_tx_stop_all_queues
netif_tx_wake_queue
netlink_broadcast
__netlink_kernel_create
netlink_kernel_release
netlink_register_notifier
netlink_unicast
netlink_unregister_notifier
net_ns_type_operations
net_ratelimit
new_inode
next_arg
nla_append
nla_find
nla_memcpy
__nla_parse
nla_put_64bit
nla_put
nla_put_nohdr
nla_reserve
nla_strscpy
__nla_validate
__nlmsg_put
no_llseek
nonseekable_open
noop_backing_dev_info
noop_llseek
nr_cpu_ids
ns_to_timespec64
__num_online_cpus
nvmem_cell_read
of_address_to_resource
of_alias_get_id
of_clk_add_provider
of_clk_get
of_clk_get_by_name
of_clk_hw_onecell_get
of_clk_src_onecell_get
of_device_get_match_data
of_device_is_available
of_device_is_compatible
of_dma_configure_id
of_dma_is_coherent
of_find_compatible_node
of_find_device_by_node
of_find_i2c_adapter_by_node
of_find_node_by_name
of_find_node_by_phandle
of_find_node_by_type
of_find_node_opts_by_path
of_find_property
of_fwnode_ops
of_genpd_add_provider_onecell
of_get_child_by_name
of_get_compatible_child
of_get_mac_address
of_get_named_gpio_flags
of_get_next_available_child
of_get_next_child
of_get_parent
of_get_phy_mode
of_get_property
of_get_regulator_init_data
of_graph_get_remote_port_parent
of_iomap
of_irq_find_parent
of_irq_get
of_irq_get_byname
of_irq_parse_one
of_machine_is_compatible
of_match_device
of_match_node
of_mdiobus_register
of_mdio_find_bus
of_parse_phandle
of_parse_phandle_with_args
of_phy_is_fixed_link
of_platform_depopulate
of_platform_populate
of_property_count_elems_of_size
of_property_match_string
of_property_read_string
of_property_read_string_helper
of_property_read_u32_index
of_property_read_variable_u16_array
of_property_read_variable_u32_array
of_property_read_variable_u8_array
of_prop_next_u32
of_pwm_xlate_with_flags
of_reserved_mem_device_init_by_idx
of_reserved_mem_device_release
of_reserved_mem_lookup
__of_reset_control_get
of_thermal_get_ntrips
of_thermal_is_trip_valid
oops_in_progress
overflowgid
overflowuid
pagecache_get_page
pagecache_write_begin
pagecache_write_end
page_endio
__page_mapcount
page_mapping
page_pool_alloc_pages
page_pool_create
page_pool_destroy
page_pool_put_page
page_pool_release_page
panic
panic_notifier_list
param_array_ops
param_get_charp
param_get_string
param_ops_bool
param_ops_byte
param_ops_charp
param_ops_int
param_ops_long
param_ops_short
param_ops_string
param_ops_uint
param_ops_ullong
param_ops_ulong
param_ops_ushort
param_set_charp
param_set_copystring
pci_find_next_bus
pci_generic_config_read
pci_generic_config_write
pci_get_device
pci_lock_rescan_remove
pci_read_config_dword
pci_rescan_bus
pci_stop_and_remove_bus_device_locked
pci_unlock_rescan_remove
pci_write_config_dword
PDE_DATA
__per_cpu_offset
perf_trace_buf_alloc
perf_trace_run_bpf_submit
pfn_is_map_memory
phy_attached_info
phy_drivers_register
phy_drivers_unregister
phy_error
phy_exit
phy_init
phy_init_eee
phylink_connect_phy
phylink_create
phylink_destroy
phylink_disconnect_phy
phylink_ethtool_get_eee
phylink_ethtool_get_pauseparam
phylink_ethtool_get_wol
phylink_ethtool_ksettings_get
phylink_ethtool_ksettings_set
phylink_ethtool_nway_reset
phylink_ethtool_set_eee
phylink_ethtool_set_pauseparam
phylink_ethtool_set_wol
phylink_get_eee_err
phylink_mii_ioctl
phylink_of_phy_connect
phylink_resume
phylink_set_pcs
phylink_set_port_modes
phylink_speed_down
phylink_speed_up
phylink_start
phylink_stop
phylink_suspend
phy_power_off
phy_power_on
phy_print_status
phy_trigger_machine
pid_task
pinconf_generic_dt_free_map
pinconf_generic_dt_node_to_map
pinctrl_dev_get_drvdata
pinctrl_lookup_state
pinctrl_pm_select_default_state
pinctrl_pm_select_sleep_state
pinctrl_select_state
pinctrl_utils_free_map
pin_user_pages
pin_user_pages_remote
platform_device_add
platform_device_add_resources
platform_device_alloc
platform_device_del
platform_device_put
platform_device_register
platform_device_register_full
platform_device_unregister
__platform_driver_probe
__platform_driver_register
platform_driver_unregister
platform_get_irq
platform_get_irq_byname
platform_get_irq_byname_optional
platform_get_resource
platform_get_resource_byname
pm_genpd_add_subdomain
pm_genpd_init
pm_power_off
__pm_relax
pm_relax
pm_runtime_allow
__pm_runtime_disable
pm_runtime_enable
pm_runtime_forbid
pm_runtime_force_resume
pm_runtime_force_suspend
__pm_runtime_idle
pm_runtime_irq_safe
__pm_runtime_resume
pm_runtime_set_autosuspend_delay
__pm_runtime_set_status
__pm_runtime_suspend
__pm_runtime_use_autosuspend
__pm_stay_awake
pm_stay_awake
pm_wakeup_dev_event
pm_wakeup_ws_event
posix_acl_chmod
prandom_bytes
prandom_u32
preempt_schedule
preempt_schedule_notrace
prepare_to_wait_event
print_hex_dump
_printk
__printk_ratelimit
proc_create
proc_create_data
proc_dointvec
proc_get_parent_data
proc_mkdir
proc_mkdir_data
proc_remove
__pskb_copy_fclone
pskb_expand_head
__pskb_pull_tail
___pskb_trim
ptp_clock_event
ptp_clock_index
ptp_clock_register
ptp_clock_unregister
put_device
__put_net
__put_page
put_pid
__put_task_struct
put_unused_fd
pwm_adjust_config
pwm_apply_state
pwmchip_add
pwmchip_remove
pwm_get_chip_data
pwm_set_chip_data
queue_delayed_work_on
queue_work_on
___ratelimit
raw_notifier_call_chain
raw_notifier_chain_register
raw_notifier_chain_unregister
_raw_read_lock
_raw_read_lock_bh
_raw_read_unlock
_raw_read_unlock_bh
_raw_spin_lock
_raw_spin_lock_bh
_raw_spin_lock_irq
_raw_spin_lock_irqsave
_raw_spin_trylock
_raw_spin_unlock
_raw_spin_unlock_bh
_raw_spin_unlock_irq
_raw_spin_unlock_irqrestore
_raw_write_lock
_raw_write_lock_irqsave
_raw_write_unlock
_raw_write_unlock_irqrestore
rb_erase
rb_first
rb_insert_color
rb_last
rb_next
rb_prev
rb_replace_node
rcu_barrier
__rcu_read_lock
__rcu_read_unlock
rdev_get_drvdata
read_cache_page
read_sanitised_ftr_reg
refcount_warn_saturate
__refrigerator
regcache_sync
__register_blkdev
__register_chrdev
register_chrdev_region
register_console
register_die_notifier
register_filesystem
register_inet6addr_notifier
register_inetaddr_notifier
register_module_notifier
register_netdev
register_netdevice
register_netdevice_notifier
register_oom_notifier
register_pernet_device
register_pm_notifier
register_reboot_notifier
register_restart_handler
register_shrinker
register_syscore_ops
register_sysctl_table
register_wide_hw_breakpoint
regmap_field_read
regmap_field_update_bits_base
regmap_multi_reg_write
regmap_raw_write
regmap_read
regmap_update_bits_base
regmap_write
regulator_count_voltages
regulator_disable
regulator_enable
regulator_get_optional
regulator_get_voltage
regulator_list_voltage
regulator_map_voltage_iterate
regulator_put
regulator_set_voltage
release_firmware
__release_region
release_resource
remap_pfn_range
remap_vmalloc_range
remove_cpu
remove_proc_entry
request_firmware
request_firmware_nowait
__request_module
__request_region
request_threaded_irq
reset_control_assert
reset_control_deassert
reset_control_put
reset_control_reset
rfkill_alloc
rfkill_blocked
rfkill_destroy
rfkill_init_sw_state
rfkill_register
rfkill_resume_polling
rfkill_set_hw_state_reason
rfkill_unregister
rhashtable_free_and_destroy
rhashtable_insert_slow
rhltable_init
__rht_bucket_nested
rht_bucket_nested
rht_bucket_nested_insert
round_jiffies
round_jiffies_relative
round_jiffies_up
rtc_add_group
rtc_time64_to_tm
rtc_tm_to_time64
rtc_update_irq
rtnl_is_locked
rtnl_lock
rtnl_unlock
runqueues
sb_set_blocksize
scatterwalk_map_and_copy
sched_clock
sched_setattr_nocheck
sched_set_fifo_low
sched_set_normal
sched_setscheduler
sched_setscheduler_nocheck
sched_show_task
schedule
schedule_timeout
schedule_timeout_interruptible
scnprintf
sdio_align_size
sdio_claim_host
sdio_claim_irq
sdio_disable_func
sdio_enable_func
sdio_f0_readb
sdio_f0_writeb
sdio_get_host_pm_caps
sdio_memcpy_fromio
sdio_memcpy_toio
sdio_readb
sdio_readl
sdio_readsb
sdio_readw
sdio_register_driver
sdio_release_host
sdio_release_irq
sdio_retune_crc_disable
sdio_retune_crc_enable
sdio_retune_hold_now
sdio_retune_release
sdio_set_block_size
sdio_set_host_pm_flags
sdio_unregister_driver
sdio_writeb
sdio_writel
sdio_writesb
sdio_writew
send_sig
seq_lseek
seq_open
seq_printf
seq_putc
seq_puts
seq_read
seq_release
seq_write
setattr_copy
setattr_prepare
set_bh_page
set_capacity
set_capacity_and_notify
set_cpus_allowed_ptr
set_freezable
set_nlink
set_normalized_timespec64
set_page_dirty
__set_page_dirty_buffers
set_page_dirty_lock
__SetPageMovable
set_user_nice
sg_alloc_table
sg_alloc_table_from_pages_segment
sg_copy_from_buffer
sg_copy_to_buffer
sg_free_table
sg_init_one
sg_init_table
sg_nents
sg_next
__sg_page_iter_next
__sg_page_iter_start
show_class_attr_string
show_regs
simple_attr_open
simple_attr_read
simple_attr_release
simple_attr_write
simple_open
simple_read_from_buffer
simple_strtol
simple_strtoul
simple_write_to_buffer
single_open
single_open_size
single_release
skb_add_rx_frag
skb_checksum_help
skb_clone
skb_clone_sk
skb_complete_wifi_ack
skb_copy
skb_copy_bits
skb_copy_expand
skb_dequeue
skb_ensure_writable
__skb_get_hash
__skb_gso_segment
__skb_pad
skb_pull
skb_push
skb_put
skb_queue_head
skb_queue_purge
skb_queue_tail
skb_realloc_headroom
skb_trim
skb_tstamp_tx
skip_spaces
smp_call_function
smp_call_function_single
snd_ctl_add
snd_ctl_boolean_mono_info
snd_ctl_enum_info
snd_ctl_new1
snd_dma_alloc_pages
snd_dma_free_pages
snd_pcm_format_width
snd_pcm_hw_constraint_integer
snd_pcm_kernel_ioctl
snd_pcm_lib_default_mmap
snd_pcm_lib_free_pages
snd_pcm_lib_ioctl
snd_pcm_lib_malloc_pages
snd_pcm_lib_preallocate_pages
snd_pcm_period_elapsed
snd_pcm_rate_to_rate_bit
snd_pcm_stop
snd_pcm_stop_xrun
_snd_pcm_stream_lock_irqsave
snd_pcm_stream_unlock_irqrestore
snd_soc_add_card_controls
snd_soc_add_dai_controls
snd_soc_bytes_info_ext
snd_soc_bytes_tlv_callback
snd_soc_card_jack_new
snd_soc_component_read
snd_soc_component_update_bits
snd_soc_component_write
snd_soc_daifmt_clock_provider_from_bitmap
snd_soc_daifmt_parse_clock_provider_raw
snd_soc_daifmt_parse_format
snd_soc_dai_set_fmt
snd_soc_dai_set_sysclk
snd_soc_dai_set_tdm_slot
snd_soc_dapm_get_enum_double
snd_soc_dapm_put_enum_double
snd_soc_get_volsw
snd_soc_get_volsw_range
snd_soc_info_enum_double
snd_soc_info_volsw
snd_soc_info_volsw_range
snd_soc_jack_free_gpios
snd_soc_jack_report
snd_soc_of_get_dai_link_codecs
snd_soc_of_get_dai_name
snd_soc_of_get_slot_mask
snd_soc_of_parse_audio_routing
snd_soc_of_parse_audio_simple_widgets
snd_soc_of_parse_card_name
snd_soc_of_parse_tdm_slot
snd_soc_pm_ops
snd_soc_put_volsw
snd_soc_put_volsw_range
snd_soc_register_component
snd_soc_set_runtime_hwparams
snd_soc_unregister_component
snprintf
__sock_create
sock_release
sock_wfree
sort
__spi_alloc_controller
spi_alloc_device
spi_busnum_to_master
spi_controller_resume
spi_controller_suspend
spi_finalize_current_transfer
spi_mem_adjust_op_size
spi_mem_default_supports_op
spi_mem_supports_op
spi_new_device
__spi_register_driver
spi_setup
spi_sync
split_page
sprintf
sprint_symbol
sscanf
__stack_chk_fail
stack_trace_print
stack_trace_save
static_key_slow_dec
static_key_slow_inc
stpcpy
strcasecmp
strcat
strchr
strchrnul
strcmp
strcpy
strcspn
strlcpy
strlen
strncasecmp
strncat
strnchr
strncmp
strncpy
strncpy_from_user
strnlen
strnstr
strpbrk
strrchr
strscpy
strsep
strspn
strstr
submit_bh
submit_bio
submit_bio_wait
__sw_hweight16
__sw_hweight32
__sw_hweight64
__sw_hweight8
__symbol_get
__symbol_put
symbol_put_addr
sync_blockdev
sync_dirty_buffer
sync_file_create
sync_file_get_fence
sync_filesystem
synchronize_irq
synchronize_net
synchronize_rcu
sync_inode_metadata
sync_mapping_buffers
syscon_node_to_regmap
syscon_regmap_lookup_by_phandle
sysfs_create_file_ns
sysfs_create_group
sysfs_create_link
sysfs_emit
__sysfs_match_string
sysfs_remove_file_ns
sysfs_remove_group
sysfs_remove_link
sysfs_streq
sysrq_mask
system_freezable_wq
system_freezing_cnt
system_highpri_wq
system_power_efficient_wq
system_wq
sys_tz
__tasklet_hi_schedule
tasklet_init
tasklet_kill
__tasklet_schedule
tasklet_setup
tasklet_unlock_wait
thermal_cooling_device_unregister
thermal_of_cooling_device_register
thermal_zone_device_unregister
thermal_zone_device_update
thermal_zone_get_zone_by_name
thermal_zone_of_sensor_unregister
time64_to_tm
_totalram_pages
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_ignore_this_pid
trace_event_printf
trace_event_raw_init
trace_event_reg
trace_handle_return
__traceiter_android_rvh_panic_unhandled
__traceiter_android_vh_cpu_idle_enter
__traceiter_android_vh_cpu_idle_exit
__traceiter_android_vh_do_traversal_lruvec
__traceiter_android_vh_dump_throttled_rt_tasks
__traceiter_android_vh_ftrace_format_check
__traceiter_android_vh_mmc_sd_update_cmdline_timing
__traceiter_android_vh_mmc_sd_update_dataline_timing
__traceiter_android_vh_rmqueue
__traceiter_android_vh_sched_show_task
__traceiter_gpu_mem_total
__traceiter_irq_handler_entry
__traceiter_irq_handler_exit
__traceiter_mmap_lock_acquire_returned
__traceiter_mmap_lock_released
__traceiter_mmap_lock_start_locking
__traceiter_xdp_exception
trace_output_call
__tracepoint_android_vh_cpu_idle_enter
__tracepoint_android_vh_cpu_idle_exit
__tracepoint_android_vh_do_traversal_lruvec
__tracepoint_android_vh_dump_throttled_rt_tasks
__tracepoint_android_vh_mmc_sd_update_cmdline_timing
__tracepoint_android_vh_mmc_sd_update_dataline_timing
__tracepoint_android_vh_rmqueue
__tracepoint_android_vh_sched_show_task
__tracepoint_gpu_mem_total
__tracepoint_irq_handler_entry
__tracepoint_irq_handler_exit
__tracepoint_mmap_lock_acquire_returned
__tracepoint_mmap_lock_released
__tracepoint_mmap_lock_start_locking
tracepoint_probe_register
tracepoint_probe_unregister
__tracepoint_xdp_exception
trace_print_array_seq
trace_print_flags_seq
trace_print_symbols_seq
trace_raw_output_prep
truncate_inode_pages_final
truncate_pagecache
truncate_setsize
try_module_get
try_wait_for_completion
tty_flip_buffer_push
uart_add_one_port
uart_console_device
uart_console_write
uart_get_baud_rate
uart_insert_char
uart_parse_options
uart_register_driver
uart_remove_one_port
uart_resume_port
uart_set_options
uart_suspend_port
uart_try_toggle_sysrq
uart_unregister_driver
uart_update_timeout
uart_write_wakeup
__ubsan_handle_cfi_check_fail_abort
__udelay
__uio_register_device
uio_unregister_device
unload_nls
unlock_buffer
unlock_new_inode
unlock_page
unmap_mapping_range
unpin_user_page
unregister_blkdev
__unregister_chrdev
unregister_chrdev_region
unregister_filesystem
unregister_inet6addr_notifier
unregister_inetaddr_notifier
unregister_module_notifier
unregister_netdev
unregister_netdevice_many
unregister_netdevice_notifier
unregister_netdevice_queue
unregister_oom_notifier
unregister_pernet_device
unregister_pm_notifier
unregister_reboot_notifier
unregister_shrinker
unregister_sysctl_table
unregister_wide_hw_breakpoint
up
up_read
up_write
usb_add_gadget_udc
usb_add_hcd
usb_add_phy_dev
usb_create_hcd
usb_del_gadget_udc
usb_ep_set_maxpacket_limit
usb_gadget_probe_driver
usb_gadget_unregister_driver
usb_hcd_check_unlink_urb
usb_hcd_giveback_urb
usb_hcd_resume_root_hub
usb_put_hcd
usb_remove_hcd
__usecs_to_jiffies
usleep_range_state
utf16s_to_utf8s
utf8_to_utf32
v4l2_ctrl_handler_free
v4l2_ctrl_handler_init_class
v4l2_ctrl_handler_setup
v4l2_ctrl_new_custom
v4l2_ctrl_new_std
v4l2_ctrl_subscribe_event
v4l2_device_register
v4l2_device_unregister
v4l2_event_queue_fh
v4l2_event_subscribe
v4l2_event_unsubscribe
v4l2_fh_add
v4l2_fh_del
v4l2_fh_exit
v4l2_fh_init
v4l2_fh_open
v4l2_m2m_buf_queue
v4l2_m2m_buf_remove
v4l2_m2m_ctx_init
v4l2_m2m_ctx_release
v4l2_m2m_dqbuf
v4l2_m2m_fop_mmap
v4l2_m2m_fop_poll
v4l2_m2m_get_vq
v4l2_m2m_init
v4l2_m2m_ioctl_create_bufs
v4l2_m2m_ioctl_expbuf
v4l2_m2m_ioctl_querybuf
v4l2_m2m_ioctl_reqbufs
v4l2_m2m_ioctl_streamoff
v4l2_m2m_ioctl_streamon
v4l2_m2m_job_finish
v4l2_m2m_next_buf
v4l2_m2m_qbuf
v4l2_m2m_release
v4l2_m2m_try_schedule
v4l2_src_change_event_subscribe
v4l_bound_align_image
vabits_actual
vb2_buffer_done
vb2_dma_contig_memops
vb2_dma_sg_memops
vb2_fop_mmap
vb2_fop_poll
vb2_fop_read
vb2_fop_release
vb2_ioctl_create_bufs
vb2_ioctl_dqbuf
vb2_ioctl_expbuf
vb2_ioctl_qbuf
vb2_ioctl_querybuf
vb2_ioctl_reqbufs
vb2_ioctl_streamoff
vb2_ioctl_streamon
vb2_ops_wait_finish
vb2_ops_wait_prepare
vb2_plane_cookie
vb2_plane_vaddr
vb2_queue_init
vb2_queue_release
verify_pkcs7_signature
vfree
vfs_fsync_range
video_devdata
video_device_alloc
video_device_release
video_ioctl2
__video_register_device
video_unregister_device
vmalloc
vmalloc_to_page
vmalloc_to_pfn
vmalloc_user
vmap
vmf_insert_pfn_prot
vm_insert_page
vm_unmap_aliases
vm_zone_stat
vprintk
vsnprintf
vsprintf
vunmap
vzalloc
wait_for_completion
wait_for_completion_interruptible
wait_for_completion_interruptible_timeout
wait_for_completion_killable
wait_for_completion_timeout
__wait_on_buffer
__wake_up
wake_up_process
wakeup_source_register
wakeup_source_unregister
__wake_up_sync
__warn_printk
wireless_nlevent_flush
wireless_send_event
work_busy
write_inode_now
xdp_convert_zc_to_xdp_frame
xdp_do_flush
xdp_do_redirect
xdp_master_redirect
xdp_return_frame
xdp_return_frame_rx_napi
xdp_rxq_info_is_reg
xdp_rxq_info_reg
xdp_rxq_info_reg_mem_model
xdp_rxq_info_unreg
xdp_rxq_info_unreg_mem_model
xdp_warn
xp_alloc
xp_dma_map
xp_dma_sync_for_cpu_slow
xp_dma_sync_for_device_slow
xp_dma_unmap
xp_free
xp_raw_get_dma
xp_set_rxq_info
xsk_clear_rx_need_wakeup
xsk_get_pool_from_qid
xsk_set_rx_need_wakeup
xsk_set_tx_need_wakeup
xsk_tx_completed
xsk_tx_peek_desc
xsk_tx_release
xsk_uses_need_wakeup
yield
zs_compact
zs_create_pool
zs_destroy_pool
zs_free
zs_get_total_pages
zs_huge_class_size
zs_malloc
zs_map_object
zs_pool_stats
zs_unmap_object