sdm: Set default values in class definition

C++11 allows setting default values of member variables in the
class definition. This allows us to have a cleaner initializer
list. Values in the constructor initializer list still take
precedence.

Change-Id: I2a39aca2ed5033bef2eaa0937807a4d466b42fea
21 files changed