blob: d5f9625fac77c2a860f8156ca97254e039be2413 [file] [log] [blame]
PPC integer arith insns with two args:
add 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
add 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
add 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
add 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
add 0000001cbe991def, 0000001cbe991def => 000000397d323bde (00000000 00000000)
add 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (00000000 00000000)
add ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
add ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (00000000 00000000)
add ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (00000000 00000000)
addo 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
addo 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
addo 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
addo 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
addo 0000001cbe991def, 0000001cbe991def => 000000397d323bde (00000000 00000000)
addo 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (00000000 00000000)
addo ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
addo ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (00000000 00000000)
addo ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (00000000 00000000)
addc 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
addc 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
addc 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
addc 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
addc 0000001cbe991def, 0000001cbe991def => 000000397d323bde (00000000 00000000)
addc 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (00000000 20000000)
addc ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
addc ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (00000000 20000000)
addc ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (00000000 20000000)
addco 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
addco 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
addco 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
addco 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
addco 0000001cbe991def, 0000001cbe991def => 000000397d323bde (00000000 00000000)
addco 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (00000000 20000000)
addco ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
addco ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (00000000 20000000)
addco ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (00000000 20000000)
divw 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divw 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divw 0000001cbe991def, 0000001cbe991def => 0000000000000001 (00000000 00000000)
divw 0000001cbe991def, ffffffffffffffff => 000000004166e211 (00000000 00000000)
divw ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divw ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
divwo 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divwo 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divwo 0000001cbe991def, 0000001cbe991def => 0000000000000001 (00000000 00000000)
divwo 0000001cbe991def, ffffffffffffffff => 000000004166e211 (00000000 00000000)
divwo ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divwo ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
divwu 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divwu 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divwu 0000001cbe991def, 0000001cbe991def => 0000000000000001 (00000000 00000000)
divwu 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divwu ffffffffffffffff, 0000001cbe991def => 0000000000000001 (00000000 00000000)
divwu ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
divwuo 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divwuo 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divwuo 0000001cbe991def, 0000001cbe991def => 0000000000000001 (00000000 00000000)
divwuo 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divwuo ffffffffffffffff, 0000001cbe991def => 0000000000000001 (00000000 00000000)
divwuo ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
mulhw 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhw 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
mulhw 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
mulhw 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhw 0000001cbe991def, 0000001cbe991def => 0000000010b56825 (00000000 00000000)
mulhw 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
mulhw ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhw ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00000000 00000000)
mulhw ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
mulhwu 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhwu 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
mulhwu 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
mulhwu 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhwu 0000001cbe991def, 0000001cbe991def => 000000008de7a403 (00000000 00000000)
mulhwu 0000001cbe991def, ffffffffffffffff => 00000000be991dee (00000000 00000000)
mulhwu ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhwu ffffffffffffffff, 0000001cbe991def => 00000000be991dee (00000000 00000000)
mulhwu ffffffffffffffff, ffffffffffffffff => 00000000fffffffe (00000000 00000000)
mullw 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
mullw 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
mullw 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
mullw 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 00000000)
mullw 0000001cbe991def, 0000001cbe991def => 10b568258f2e0521 (00000000 00000000)
mullw 0000001cbe991def, ffffffffffffffff => 000000004166e211 (00000000 00000000)
mullw ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
mullw ffffffffffffffff, 0000001cbe991def => 000000004166e211 (00000000 00000000)
mullw ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
mullwo 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
mullwo 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
mullwo 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
mullwo 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 00000000)
mullwo 0000001cbe991def, 0000001cbe991def => 10b568258f2e0521 (00000000 c0000000)
mullwo 0000001cbe991def, ffffffffffffffff => 000000004166e211 (00000000 00000000)
mullwo ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
mullwo ffffffffffffffff, 0000001cbe991def => 000000004166e211 (00000000 00000000)
mullwo ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
subf 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
subf 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
subf 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
subf 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (00000000 00000000)
subf 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 00000000)
subf 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (00000000 00000000)
subf ffffffffffffffff, 0000000000000000 => 0000000000000001 (00000000 00000000)
subf ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (00000000 00000000)
subf ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
subfo 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
subfo 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
subfo 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
subfo 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (00000000 00000000)
subfo 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 00000000)
subfo 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (00000000 00000000)
subfo ffffffffffffffff, 0000000000000000 => 0000000000000001 (00000000 00000000)
subfo ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (00000000 00000000)
subfo ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
subfc 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 20000000)
subfc 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 20000000)
subfc 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 20000000)
subfc 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (00000000 00000000)
subfc 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 20000000)
subfc 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (00000000 20000000)
subfc ffffffffffffffff, 0000000000000000 => 0000000000000001 (00000000 00000000)
subfc ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (00000000 00000000)
subfc ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 20000000)
subfco 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 20000000)
subfco 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 20000000)
subfco 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 20000000)
subfco 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (00000000 00000000)
subfco 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 20000000)
subfco 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (00000000 20000000)
subfco ffffffffffffffff, 0000000000000000 => 0000000000000001 (00000000 00000000)
subfco ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (00000000 00000000)
subfco ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 20000000)
mulhd 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhd 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
mulhd 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
mulhd 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhd 0000001cbe991def, 0000001cbe991def => 000000000000033a (00000000 00000000)
mulhd 0000001cbe991def, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
mulhd ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhd ffffffffffffffff, 0000001cbe991def => ffffffffffffffff (00000000 00000000)
mulhd ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
mulhdu 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhdu 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
mulhdu 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
mulhdu 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhdu 0000001cbe991def, 0000001cbe991def => 000000000000033a (00000000 00000000)
mulhdu 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (00000000 00000000)
mulhdu ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulhdu ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (00000000 00000000)
mulhdu ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (00000000 00000000)
mulld 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulld 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
mulld 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
mulld 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulld 0000001cbe991def, 0000001cbe991def => 3f66304b8f2e0521 (00000000 00000000)
mulld 0000001cbe991def, ffffffffffffffff => ffffffe34166e211 (00000000 00000000)
mulld ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulld ffffffffffffffff, 0000001cbe991def => ffffffe34166e211 (00000000 00000000)
mulld ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
mulldo 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulldo 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
mulldo 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
mulldo 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulldo 0000001cbe991def, 0000001cbe991def => 3f66304b8f2e0521 (00000000 c0000000)
mulldo 0000001cbe991def, ffffffffffffffff => ffffffe34166e211 (00000000 00000000)
mulldo ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
mulldo ffffffffffffffff, 0000001cbe991def => ffffffe34166e211 (00000000 00000000)
mulldo ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
divd 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
divd 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divd 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divd 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 00000000)
divd 0000001cbe991def, 0000001cbe991def => 0000000000000001 (00000000 00000000)
divd 0000001cbe991def, ffffffffffffffff => ffffffe34166e211 (00000000 00000000)
divd ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
divd ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divd ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
divdu 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
divdu 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divdu 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divdu 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 00000000)
divdu 0000001cbe991def, 0000001cbe991def => 0000000000000001 (00000000 00000000)
divdu 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divdu ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
divdu ffffffffffffffff, 0000001cbe991def => 0000000008e7f283 (00000000 00000000)
divdu ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
divdo 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 c0000000)
divdo 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divdo 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divdo 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 c0000000)
divdo 0000001cbe991def, 0000001cbe991def => 0000000000000001 (00000000 00000000)
divdo 0000001cbe991def, ffffffffffffffff => ffffffe34166e211 (00000000 00000000)
divdo ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 c0000000)
divdo ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divdo ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
divduo 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 c0000000)
divduo 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
divduo 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divduo 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 c0000000)
divduo 0000001cbe991def, 0000001cbe991def => 0000000000000001 (00000000 00000000)
divduo 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
divduo ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 c0000000)
divduo ffffffffffffffff, 0000001cbe991def => 0000000008e7f283 (00000000 00000000)
divduo ffffffffffffffff, ffffffffffffffff => 0000000000000001 (00000000 00000000)
PPC integer arith insns with two args with flags update:
add. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
add. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
add. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
add. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
add. 0000001cbe991def, 0000001cbe991def => 000000397d323bde (40000000 00000000)
add. 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (40000000 00000000)
add. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
add. ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (40000000 00000000)
add. ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (80000000 00000000)
addo. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
addo. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
addo. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
addo. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
addo. 0000001cbe991def, 0000001cbe991def => 000000397d323bde (40000000 00000000)
addo. 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (40000000 00000000)
addo. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
addo. ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (40000000 00000000)
addo. ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (80000000 00000000)
addc. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
addc. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
addc. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
addc. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
addc. 0000001cbe991def, 0000001cbe991def => 000000397d323bde (40000000 00000000)
addc. 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (40000000 20000000)
addc. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
addc. ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (40000000 20000000)
addc. ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (80000000 20000000)
addco. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
addco. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
addco. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
addco. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
addco. 0000001cbe991def, 0000001cbe991def => 000000397d323bde (40000000 00000000)
addco. 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (40000000 20000000)
addco. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
addco. ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (40000000 20000000)
addco. ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (80000000 20000000)
divw. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divw. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divw. 0000001cbe991def, 0000001cbe991def => 0000000000000001 (40000000 00000000)
divw. 0000001cbe991def, ffffffffffffffff => 000000004166e211 (40000000 00000000)
divw. ffffffffffffffff, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divw. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
divwo. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divwo. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divwo. 0000001cbe991def, 0000001cbe991def => 0000000000000001 (40000000 00000000)
divwo. 0000001cbe991def, ffffffffffffffff => 000000004166e211 (40000000 00000000)
divwo. ffffffffffffffff, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divwo. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
divwu. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divwu. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divwu. 0000001cbe991def, 0000001cbe991def => 0000000000000001 (40000000 00000000)
divwu. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divwu. ffffffffffffffff, 0000001cbe991def => 0000000000000001 (40000000 00000000)
divwu. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
divwuo. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divwuo. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divwuo. 0000001cbe991def, 0000001cbe991def => 0000000000000001 (40000000 00000000)
divwuo. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divwuo. ffffffffffffffff, 0000001cbe991def => 0000000000000001 (40000000 00000000)
divwuo. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
mulhw. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhw. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
mulhw. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
mulhw. 0000001cbe991def, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhw. 0000001cbe991def, 0000001cbe991def => 0000000010b56825 (40000000 00000000)
mulhw. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
mulhw. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhw. ffffffffffffffff, 0000001cbe991def => 0000000000000000 (20000000 00000000)
mulhw. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
mulhwu. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhwu. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
mulhwu. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
mulhwu. 0000001cbe991def, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhwu. 0000001cbe991def, 0000001cbe991def => 000000008de7a403 (80000000 00000000)
mulhwu. 0000001cbe991def, ffffffffffffffff => 00000000be991dee (80000000 00000000)
mulhwu. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhwu. ffffffffffffffff, 0000001cbe991def => 00000000be991dee (80000000 00000000)
mulhwu. ffffffffffffffff, ffffffffffffffff => 00000000fffffffe (80000000 00000000)
mullw. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
mullw. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
mullw. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
mullw. 0000001cbe991def, 0000000000000000 => 0000000000000000 (20000000 00000000)
mullw. 0000001cbe991def, 0000001cbe991def => 10b568258f2e0521 (40000000 00000000)
mullw. 0000001cbe991def, ffffffffffffffff => 000000004166e211 (40000000 00000000)
mullw. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
mullw. ffffffffffffffff, 0000001cbe991def => 000000004166e211 (40000000 00000000)
mullw. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
mullwo. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
mullwo. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
mullwo. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
mullwo. 0000001cbe991def, 0000000000000000 => 0000000000000000 (20000000 00000000)
mullwo. 0000001cbe991def, 0000001cbe991def => 10b568258f2e0521 (50000000 c0000000)
mullwo. 0000001cbe991def, ffffffffffffffff => 000000004166e211 (40000000 00000000)
mullwo. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
mullwo. ffffffffffffffff, 0000001cbe991def => 000000004166e211 (40000000 00000000)
mullwo. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
subf. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
subf. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
subf. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
subf. 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (80000000 00000000)
subf. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 00000000)
subf. 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (80000000 00000000)
subf. ffffffffffffffff, 0000000000000000 => 0000000000000001 (40000000 00000000)
subf. ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (40000000 00000000)
subf. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
subfo. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
subfo. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
subfo. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
subfo. 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (80000000 00000000)
subfo. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 00000000)
subfo. 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (80000000 00000000)
subfo. ffffffffffffffff, 0000000000000000 => 0000000000000001 (40000000 00000000)
subfo. ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (40000000 00000000)
subfo. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
subfc. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 20000000)
subfc. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 20000000)
subfc. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 20000000)
subfc. 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (80000000 00000000)
subfc. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 20000000)
subfc. 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (80000000 20000000)
subfc. ffffffffffffffff, 0000000000000000 => 0000000000000001 (40000000 00000000)
subfc. ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (40000000 00000000)
subfc. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 20000000)
subfco. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 20000000)
subfco. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 20000000)
subfco. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 20000000)
subfco. 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (80000000 00000000)
subfco. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 20000000)
subfco. 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (80000000 20000000)
subfco. ffffffffffffffff, 0000000000000000 => 0000000000000001 (40000000 00000000)
subfco. ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (40000000 00000000)
subfco. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 20000000)
mulhd. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhd. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
mulhd. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
mulhd. 0000001cbe991def, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhd. 0000001cbe991def, 0000001cbe991def => 000000000000033a (40000000 00000000)
mulhd. 0000001cbe991def, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
mulhd. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhd. ffffffffffffffff, 0000001cbe991def => ffffffffffffffff (80000000 00000000)
mulhd. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
mulhdu. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhdu. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
mulhdu. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
mulhdu. 0000001cbe991def, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhdu. 0000001cbe991def, 0000001cbe991def => 000000000000033a (40000000 00000000)
mulhdu. 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (40000000 00000000)
mulhdu. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulhdu. ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (40000000 00000000)
mulhdu. ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (80000000 00000000)
mulld. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulld. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
mulld. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
mulld. 0000001cbe991def, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulld. 0000001cbe991def, 0000001cbe991def => 3f66304b8f2e0521 (40000000 00000000)
mulld. 0000001cbe991def, ffffffffffffffff => ffffffe34166e211 (80000000 00000000)
mulld. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulld. ffffffffffffffff, 0000001cbe991def => ffffffe34166e211 (80000000 00000000)
mulld. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
mulldo. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulldo. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
mulldo. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
mulldo. 0000001cbe991def, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulldo. 0000001cbe991def, 0000001cbe991def => 3f66304b8f2e0521 (50000000 c0000000)
mulldo. 0000001cbe991def, ffffffffffffffff => ffffffe34166e211 (80000000 00000000)
mulldo. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
mulldo. ffffffffffffffff, 0000001cbe991def => ffffffe34166e211 (80000000 00000000)
mulldo. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
divd. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
divd. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divd. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divd. 0000001cbe991def, 0000000000000000 => 0000000000000000 (20000000 00000000)
divd. 0000001cbe991def, 0000001cbe991def => 0000000000000001 (40000000 00000000)
divd. 0000001cbe991def, ffffffffffffffff => ffffffe34166e211 (80000000 00000000)
divd. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
divd. ffffffffffffffff, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divd. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
divdu. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
divdu. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divdu. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divdu. 0000001cbe991def, 0000000000000000 => 0000000000000000 (20000000 00000000)
divdu. 0000001cbe991def, 0000001cbe991def => 0000000000000001 (40000000 00000000)
divdu. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divdu. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
divdu. ffffffffffffffff, 0000001cbe991def => 0000000008e7f283 (40000000 00000000)
divdu. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
divdo. 0000000000000000, 0000000000000000 => 0000000000000000 (30000000 c0000000)
divdo. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divdo. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divdo. 0000001cbe991def, 0000000000000000 => 0000000000000000 (30000000 c0000000)
divdo. 0000001cbe991def, 0000001cbe991def => 0000000000000001 (40000000 00000000)
divdo. 0000001cbe991def, ffffffffffffffff => ffffffe34166e211 (80000000 00000000)
divdo. ffffffffffffffff, 0000000000000000 => 0000000000000000 (30000000 c0000000)
divdo. ffffffffffffffff, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divdo. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
divduo. 0000000000000000, 0000000000000000 => 0000000000000000 (30000000 c0000000)
divduo. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
divduo. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divduo. 0000001cbe991def, 0000000000000000 => 0000000000000000 (30000000 c0000000)
divduo. 0000001cbe991def, 0000001cbe991def => 0000000000000001 (40000000 00000000)
divduo. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
divduo. ffffffffffffffff, 0000000000000000 => 0000000000000000 (30000000 c0000000)
divduo. ffffffffffffffff, 0000001cbe991def => 0000000008e7f283 (40000000 00000000)
divduo. ffffffffffffffff, ffffffffffffffff => 0000000000000001 (40000000 00000000)
PPC integer arith insns with two args and carry:
adde 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
adde 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
adde 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
adde 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
adde 0000001cbe991def, 0000001cbe991def => 000000397d323bde (00000000 00000000)
adde 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (00000000 20000000)
adde ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
adde ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (00000000 20000000)
adde ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (00000000 20000000)
adde 0000000000000000, 0000000000000000 => 0000000000000001 (00000000 00000000)
adde 0000000000000000, 0000001cbe991def => 0000001cbe991df0 (00000000 00000000)
adde 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 20000000)
adde 0000001cbe991def, 0000000000000000 => 0000001cbe991df0 (00000000 00000000)
adde 0000001cbe991def, 0000001cbe991def => 000000397d323bdf (00000000 00000000)
adde 0000001cbe991def, ffffffffffffffff => 0000001cbe991def (00000000 20000000)
adde ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 20000000)
adde ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (00000000 20000000)
adde ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (00000000 20000000)
addeo 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
addeo 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
addeo 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
addeo 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
addeo 0000001cbe991def, 0000001cbe991def => 000000397d323bde (00000000 00000000)
addeo 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (00000000 20000000)
addeo ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
addeo ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (00000000 20000000)
addeo ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (00000000 20000000)
addeo 0000000000000000, 0000000000000000 => 0000000000000001 (00000000 00000000)
addeo 0000000000000000, 0000001cbe991def => 0000001cbe991df0 (00000000 00000000)
addeo 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 20000000)
addeo 0000001cbe991def, 0000000000000000 => 0000001cbe991df0 (00000000 00000000)
addeo 0000001cbe991def, 0000001cbe991def => 000000397d323bdf (00000000 00000000)
addeo 0000001cbe991def, ffffffffffffffff => 0000001cbe991def (00000000 20000000)
addeo ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 20000000)
addeo ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (00000000 20000000)
addeo ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (00000000 20000000)
subfe 0000000000000000, 0000000000000000 => ffffffffffffffff (00000000 00000000)
subfe 0000000000000000, 0000001cbe991def => 0000001cbe991dee (00000000 20000000)
subfe 0000000000000000, ffffffffffffffff => fffffffffffffffe (00000000 20000000)
subfe 0000001cbe991def, 0000000000000000 => ffffffe34166e210 (00000000 00000000)
subfe 0000001cbe991def, 0000001cbe991def => ffffffffffffffff (00000000 00000000)
subfe 0000001cbe991def, ffffffffffffffff => ffffffe34166e20f (00000000 20000000)
subfe ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
subfe ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
subfe ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
subfe 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 20000000)
subfe 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 20000000)
subfe 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 20000000)
subfe 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (00000000 00000000)
subfe 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 20000000)
subfe 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (00000000 20000000)
subfe ffffffffffffffff, 0000000000000000 => 0000000000000001 (00000000 00000000)
subfe ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (00000000 00000000)
subfe ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 20000000)
subfeo 0000000000000000, 0000000000000000 => ffffffffffffffff (00000000 00000000)
subfeo 0000000000000000, 0000001cbe991def => 0000001cbe991dee (00000000 20000000)
subfeo 0000000000000000, ffffffffffffffff => fffffffffffffffe (00000000 20000000)
subfeo 0000001cbe991def, 0000000000000000 => ffffffe34166e210 (00000000 00000000)
subfeo 0000001cbe991def, 0000001cbe991def => ffffffffffffffff (00000000 00000000)
subfeo 0000001cbe991def, ffffffffffffffff => ffffffe34166e20f (00000000 20000000)
subfeo ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
subfeo ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
subfeo ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
subfeo 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 20000000)
subfeo 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 20000000)
subfeo 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 20000000)
subfeo 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (00000000 00000000)
subfeo 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 20000000)
subfeo 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (00000000 20000000)
subfeo ffffffffffffffff, 0000000000000000 => 0000000000000001 (00000000 00000000)
subfeo ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (00000000 00000000)
subfeo ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 20000000)
PPC integer arith insns with two args and carry with flags update:
adde. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
adde. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
adde. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
adde. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
adde. 0000001cbe991def, 0000001cbe991def => 000000397d323bde (40000000 00000000)
adde. 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (40000000 20000000)
adde. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
adde. ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (40000000 20000000)
adde. ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (80000000 20000000)
adde. 0000000000000000, 0000000000000000 => 0000000000000001 (40000000 00000000)
adde. 0000000000000000, 0000001cbe991def => 0000001cbe991df0 (40000000 00000000)
adde. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 20000000)
adde. 0000001cbe991def, 0000000000000000 => 0000001cbe991df0 (40000000 00000000)
adde. 0000001cbe991def, 0000001cbe991def => 000000397d323bdf (40000000 00000000)
adde. 0000001cbe991def, ffffffffffffffff => 0000001cbe991def (40000000 20000000)
adde. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 20000000)
adde. ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (40000000 20000000)
adde. ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (80000000 20000000)
addeo. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
addeo. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
addeo. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
addeo. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
addeo. 0000001cbe991def, 0000001cbe991def => 000000397d323bde (40000000 00000000)
addeo. 0000001cbe991def, ffffffffffffffff => 0000001cbe991dee (40000000 20000000)
addeo. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
addeo. ffffffffffffffff, 0000001cbe991def => 0000001cbe991dee (40000000 20000000)
addeo. ffffffffffffffff, ffffffffffffffff => fffffffffffffffe (80000000 20000000)
addeo. 0000000000000000, 0000000000000000 => 0000000000000001 (40000000 00000000)
addeo. 0000000000000000, 0000001cbe991def => 0000001cbe991df0 (40000000 00000000)
addeo. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 20000000)
addeo. 0000001cbe991def, 0000000000000000 => 0000001cbe991df0 (40000000 00000000)
addeo. 0000001cbe991def, 0000001cbe991def => 000000397d323bdf (40000000 00000000)
addeo. 0000001cbe991def, ffffffffffffffff => 0000001cbe991def (40000000 20000000)
addeo. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 20000000)
addeo. ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (40000000 20000000)
addeo. ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (80000000 20000000)
subfe. 0000000000000000, 0000000000000000 => ffffffffffffffff (80000000 00000000)
subfe. 0000000000000000, 0000001cbe991def => 0000001cbe991dee (40000000 20000000)
subfe. 0000000000000000, ffffffffffffffff => fffffffffffffffe (80000000 20000000)
subfe. 0000001cbe991def, 0000000000000000 => ffffffe34166e210 (80000000 00000000)
subfe. 0000001cbe991def, 0000001cbe991def => ffffffffffffffff (80000000 00000000)
subfe. 0000001cbe991def, ffffffffffffffff => ffffffe34166e20f (80000000 20000000)
subfe. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
subfe. ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
subfe. ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
subfe. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 20000000)
subfe. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 20000000)
subfe. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 20000000)
subfe. 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (80000000 00000000)
subfe. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 20000000)
subfe. 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (80000000 20000000)
subfe. ffffffffffffffff, 0000000000000000 => 0000000000000001 (40000000 00000000)
subfe. ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (40000000 00000000)
subfe. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 20000000)
subfeo. 0000000000000000, 0000000000000000 => ffffffffffffffff (80000000 00000000)
subfeo. 0000000000000000, 0000001cbe991def => 0000001cbe991dee (40000000 20000000)
subfeo. 0000000000000000, ffffffffffffffff => fffffffffffffffe (80000000 20000000)
subfeo. 0000001cbe991def, 0000000000000000 => ffffffe34166e210 (80000000 00000000)
subfeo. 0000001cbe991def, 0000001cbe991def => ffffffffffffffff (80000000 00000000)
subfeo. 0000001cbe991def, ffffffffffffffff => ffffffe34166e20f (80000000 20000000)
subfeo. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
subfeo. ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
subfeo. ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
subfeo. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 20000000)
subfeo. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 20000000)
subfeo. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 20000000)
subfeo. 0000001cbe991def, 0000000000000000 => ffffffe34166e211 (80000000 00000000)
subfeo. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 20000000)
subfeo. 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (80000000 20000000)
subfeo. ffffffffffffffff, 0000000000000000 => 0000000000000001 (40000000 00000000)
subfeo. ffffffffffffffff, 0000001cbe991def => 0000001cbe991df0 (40000000 00000000)
subfeo. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 20000000)
PPC integer logical insns with two args:
and 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
and 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
and 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
and 0000001cbe991def, 0000000000000000 => 0000000000000000 (00000000 00000000)
and 0000001cbe991def, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
and 0000001cbe991def, ffffffffffffffff => 0000001cbe991def (00000000 00000000)
and ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
and ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
and ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
andc 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
andc 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
andc 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
andc 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
andc 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 00000000)
andc 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
andc ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
andc ffffffffffffffff, 0000001cbe991def => ffffffe34166e210 (00000000 00000000)
andc ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
eqv 0000000000000000, 0000000000000000 => ffffffffffffffff (00000000 00000000)
eqv 0000000000000000, 0000001cbe991def => ffffffe34166e210 (00000000 00000000)
eqv 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
eqv 0000001cbe991def, 0000000000000000 => ffffffe34166e210 (00000000 00000000)
eqv 0000001cbe991def, 0000001cbe991def => ffffffffffffffff (00000000 00000000)
eqv 0000001cbe991def, ffffffffffffffff => 0000001cbe991def (00000000 00000000)
eqv ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
eqv ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
eqv ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
nand 0000000000000000, 0000000000000000 => ffffffffffffffff (00000000 00000000)
nand 0000000000000000, 0000001cbe991def => ffffffffffffffff (00000000 00000000)
nand 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
nand 0000001cbe991def, 0000000000000000 => ffffffffffffffff (00000000 00000000)
nand 0000001cbe991def, 0000001cbe991def => ffffffe34166e210 (00000000 00000000)
nand 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (00000000 00000000)
nand ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
nand ffffffffffffffff, 0000001cbe991def => ffffffe34166e210 (00000000 00000000)
nand ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
nor 0000000000000000, 0000000000000000 => ffffffffffffffff (00000000 00000000)
nor 0000000000000000, 0000001cbe991def => ffffffe34166e210 (00000000 00000000)
nor 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
nor 0000001cbe991def, 0000000000000000 => ffffffe34166e210 (00000000 00000000)
nor 0000001cbe991def, 0000001cbe991def => ffffffe34166e210 (00000000 00000000)
nor 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
nor ffffffffffffffff, 0000000000000000 => 0000000000000000 (00000000 00000000)
nor ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00000000 00000000)
nor ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
or 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
or 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
or 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
or 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
or 0000001cbe991def, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
or 0000001cbe991def, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
or ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
or ffffffffffffffff, 0000001cbe991def => ffffffffffffffff (00000000 00000000)
or ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
orc 0000000000000000, 0000000000000000 => ffffffffffffffff (00000000 00000000)
orc 0000000000000000, 0000001cbe991def => ffffffe34166e210 (00000000 00000000)
orc 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
orc 0000001cbe991def, 0000000000000000 => ffffffffffffffff (00000000 00000000)
orc 0000001cbe991def, 0000001cbe991def => ffffffffffffffff (00000000 00000000)
orc 0000001cbe991def, ffffffffffffffff => 0000001cbe991def (00000000 00000000)
orc ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
orc ffffffffffffffff, 0000001cbe991def => ffffffffffffffff (00000000 00000000)
orc ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
xor 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
xor 0000000000000000, 0000001cbe991def => 0000001cbe991def (00000000 00000000)
xor 0000000000000000, ffffffffffffffff => ffffffffffffffff (00000000 00000000)
xor 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
xor 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 00000000)
xor 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (00000000 00000000)
xor ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
xor ffffffffffffffff, 0000001cbe991def => ffffffe34166e210 (00000000 00000000)
xor ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
slw 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
slw 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
slw 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
slw 0000001cbe991def, 0000000000000000 => 00000000be991def (00000000 00000000)
slw 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 00000000)
slw 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
slw ffffffffffffffff, 0000000000000000 => 00000000ffffffff (00000000 00000000)
slw ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00000000 00000000)
slw ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
sraw 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
sraw 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
sraw 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
sraw 0000001cbe991def, 0000000000000000 => ffffffffbe991def (00000000 00000000)
sraw 0000001cbe991def, 0000001cbe991def => ffffffffffffffff (00000000 20000000)
sraw 0000001cbe991def, ffffffffffffffff => ffffffffffffffff (00000000 20000000)
sraw ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
sraw ffffffffffffffff, 0000001cbe991def => ffffffffffffffff (00000000 20000000)
sraw ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (00000000 20000000)
srw 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
srw 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
srw 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
srw 0000001cbe991def, 0000000000000000 => 00000000be991def (00000000 00000000)
srw 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 00000000)
srw 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
srw ffffffffffffffff, 0000000000000000 => 00000000ffffffff (00000000 00000000)
srw ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00000000 00000000)
srw ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
sld 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
sld 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
sld 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
sld 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
sld 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 00000000)
sld 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
sld ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
sld ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00000000 00000000)
sld ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
srad 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
srad 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
srad 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
srad 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
srad 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 00000000)
srad 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
srad ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
srad ffffffffffffffff, 0000001cbe991def => ffffffffffffffff (00000000 20000000)
srad ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (00000000 20000000)
srd 0000000000000000, 0000000000000000 => 0000000000000000 (00000000 00000000)
srd 0000000000000000, 0000001cbe991def => 0000000000000000 (00000000 00000000)
srd 0000000000000000, ffffffffffffffff => 0000000000000000 (00000000 00000000)
srd 0000001cbe991def, 0000000000000000 => 0000001cbe991def (00000000 00000000)
srd 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00000000 00000000)
srd 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00000000 00000000)
srd ffffffffffffffff, 0000000000000000 => ffffffffffffffff (00000000 00000000)
srd ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00000000 00000000)
srd ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00000000 00000000)
PPC integer logical insns with two args with flags update:
and. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
and. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
and. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
and. 0000001cbe991def, 0000000000000000 => 0000000000000000 (20000000 00000000)
and. 0000001cbe991def, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
and. 0000001cbe991def, ffffffffffffffff => 0000001cbe991def (40000000 00000000)
and. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
and. ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
and. ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
andc. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
andc. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
andc. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
andc. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
andc. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 00000000)
andc. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
andc. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
andc. ffffffffffffffff, 0000001cbe991def => ffffffe34166e210 (80000000 00000000)
andc. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
eqv. 0000000000000000, 0000000000000000 => ffffffffffffffff (80000000 00000000)
eqv. 0000000000000000, 0000001cbe991def => ffffffe34166e210 (80000000 00000000)
eqv. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
eqv. 0000001cbe991def, 0000000000000000 => ffffffe34166e210 (80000000 00000000)
eqv. 0000001cbe991def, 0000001cbe991def => ffffffffffffffff (80000000 00000000)
eqv. 0000001cbe991def, ffffffffffffffff => 0000001cbe991def (40000000 00000000)
eqv. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
eqv. ffffffffffffffff, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
eqv. ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
nand. 0000000000000000, 0000000000000000 => ffffffffffffffff (80000000 00000000)
nand. 0000000000000000, 0000001cbe991def => ffffffffffffffff (80000000 00000000)
nand. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
nand. 0000001cbe991def, 0000000000000000 => ffffffffffffffff (80000000 00000000)
nand. 0000001cbe991def, 0000001cbe991def => ffffffe34166e210 (80000000 00000000)
nand. 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (80000000 00000000)
nand. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
nand. ffffffffffffffff, 0000001cbe991def => ffffffe34166e210 (80000000 00000000)
nand. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
nor. 0000000000000000, 0000000000000000 => ffffffffffffffff (80000000 00000000)
nor. 0000000000000000, 0000001cbe991def => ffffffe34166e210 (80000000 00000000)
nor. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
nor. 0000001cbe991def, 0000000000000000 => ffffffe34166e210 (80000000 00000000)
nor. 0000001cbe991def, 0000001cbe991def => ffffffe34166e210 (80000000 00000000)
nor. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
nor. ffffffffffffffff, 0000000000000000 => 0000000000000000 (20000000 00000000)
nor. ffffffffffffffff, 0000001cbe991def => 0000000000000000 (20000000 00000000)
nor. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
or. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
or. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
or. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
or. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
or. 0000001cbe991def, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
or. 0000001cbe991def, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
or. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
or. ffffffffffffffff, 0000001cbe991def => ffffffffffffffff (80000000 00000000)
or. ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
orc. 0000000000000000, 0000000000000000 => ffffffffffffffff (80000000 00000000)
orc. 0000000000000000, 0000001cbe991def => ffffffe34166e210 (80000000 00000000)
orc. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
orc. 0000001cbe991def, 0000000000000000 => ffffffffffffffff (80000000 00000000)
orc. 0000001cbe991def, 0000001cbe991def => ffffffffffffffff (80000000 00000000)
orc. 0000001cbe991def, ffffffffffffffff => 0000001cbe991def (40000000 00000000)
orc. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
orc. ffffffffffffffff, 0000001cbe991def => ffffffffffffffff (80000000 00000000)
orc. ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
xor. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
xor. 0000000000000000, 0000001cbe991def => 0000001cbe991def (40000000 00000000)
xor. 0000000000000000, ffffffffffffffff => ffffffffffffffff (80000000 00000000)
xor. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
xor. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 00000000)
xor. 0000001cbe991def, ffffffffffffffff => ffffffe34166e210 (80000000 00000000)
xor. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
xor. ffffffffffffffff, 0000001cbe991def => ffffffe34166e210 (80000000 00000000)
xor. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
slw. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
slw. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
slw. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
slw. 0000001cbe991def, 0000000000000000 => 00000000be991def (40000000 00000000)
slw. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 00000000)
slw. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
slw. ffffffffffffffff, 0000000000000000 => 00000000ffffffff (40000000 00000000)
slw. ffffffffffffffff, 0000001cbe991def => 0000000000000000 (20000000 00000000)
slw. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
sraw. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
sraw. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
sraw. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
sraw. 0000001cbe991def, 0000000000000000 => ffffffffbe991def (80000000 00000000)
sraw. 0000001cbe991def, 0000001cbe991def => ffffffffffffffff (80000000 20000000)
sraw. 0000001cbe991def, ffffffffffffffff => ffffffffffffffff (80000000 20000000)
sraw. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
sraw. ffffffffffffffff, 0000001cbe991def => ffffffffffffffff (80000000 20000000)
sraw. ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (80000000 20000000)
srw. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
srw. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
srw. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
srw. 0000001cbe991def, 0000000000000000 => 00000000be991def (40000000 00000000)
srw. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 00000000)
srw. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
srw. ffffffffffffffff, 0000000000000000 => 00000000ffffffff (40000000 00000000)
srw. ffffffffffffffff, 0000001cbe991def => 0000000000000000 (20000000 00000000)
srw. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
sld. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
sld. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
sld. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
sld. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
sld. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 00000000)
sld. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
sld. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
sld. ffffffffffffffff, 0000001cbe991def => 0000000000000000 (20000000 00000000)
sld. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
srad. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
srad. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
srad. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
srad. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
srad. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 00000000)
srad. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
srad. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
srad. ffffffffffffffff, 0000001cbe991def => ffffffffffffffff (80000000 20000000)
srad. ffffffffffffffff, ffffffffffffffff => ffffffffffffffff (80000000 20000000)
srd. 0000000000000000, 0000000000000000 => 0000000000000000 (20000000 00000000)
srd. 0000000000000000, 0000001cbe991def => 0000000000000000 (20000000 00000000)
srd. 0000000000000000, ffffffffffffffff => 0000000000000000 (20000000 00000000)
srd. 0000001cbe991def, 0000000000000000 => 0000001cbe991def (40000000 00000000)
srd. 0000001cbe991def, 0000001cbe991def => 0000000000000000 (20000000 00000000)
srd. 0000001cbe991def, ffffffffffffffff => 0000000000000000 (20000000 00000000)
srd. ffffffffffffffff, 0000000000000000 => ffffffffffffffff (80000000 00000000)
srd. ffffffffffffffff, 0000001cbe991def => 0000000000000000 (20000000 00000000)
srd. ffffffffffffffff, ffffffffffffffff => 0000000000000000 (20000000 00000000)
PPC integer compare insns (two args):
cmpw 0000000000000000, 0000000000000000 => 0000000000000000 (00200000 00000000)
cmpw 0000000000000000, 0000001cbe991def => 0000000000000000 (00400000 00000000)
cmpw 0000000000000000, ffffffffffffffff => 0000000000000000 (00400000 00000000)
cmpw 0000001cbe991def, 0000000000000000 => 0000000000000000 (00800000 00000000)
cmpw 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00200000 00000000)
cmpw 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00800000 00000000)
cmpw ffffffffffffffff, 0000000000000000 => 0000000000000000 (00800000 00000000)
cmpw ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00400000 00000000)
cmpw ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00200000 00000000)
cmplw 0000000000000000, 0000000000000000 => 0000000000000000 (00200000 00000000)
cmplw 0000000000000000, 0000001cbe991def => 0000000000000000 (00800000 00000000)
cmplw 0000000000000000, ffffffffffffffff => 0000000000000000 (00800000 00000000)
cmplw 0000001cbe991def, 0000000000000000 => 0000000000000000 (00400000 00000000)
cmplw 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00200000 00000000)
cmplw 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00800000 00000000)
cmplw ffffffffffffffff, 0000000000000000 => 0000000000000000 (00400000 00000000)
cmplw ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00400000 00000000)
cmplw ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00200000 00000000)
cmpd 0000000000000000, 0000000000000000 => 0000000000000000 (00200000 00000000)
cmpd 0000000000000000, 0000001cbe991def => 0000000000000000 (00800000 00000000)
cmpd 0000000000000000, ffffffffffffffff => 0000000000000000 (00400000 00000000)
cmpd 0000001cbe991def, 0000000000000000 => 0000000000000000 (00400000 00000000)
cmpd 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00200000 00000000)
cmpd 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00400000 00000000)
cmpd ffffffffffffffff, 0000000000000000 => 0000000000000000 (00800000 00000000)
cmpd ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00800000 00000000)
cmpd ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00200000 00000000)
cmpld 0000000000000000, 0000000000000000 => 0000000000000000 (00200000 00000000)
cmpld 0000000000000000, 0000001cbe991def => 0000000000000000 (00800000 00000000)
cmpld 0000000000000000, ffffffffffffffff => 0000000000000000 (00800000 00000000)
cmpld 0000001cbe991def, 0000000000000000 => 0000000000000000 (00400000 00000000)
cmpld 0000001cbe991def, 0000001cbe991def => 0000000000000000 (00200000 00000000)
cmpld 0000001cbe991def, ffffffffffffffff => 0000000000000000 (00800000 00000000)
cmpld ffffffffffffffff, 0000000000000000 => 0000000000000000 (00400000 00000000)
cmpld ffffffffffffffff, 0000001cbe991def => 0000000000000000 (00400000 00000000)
cmpld ffffffffffffffff, ffffffffffffffff => 0000000000000000 (00200000 00000000)
PPC integer compare with immediate insns (two args):
cmpwi 0000000000000000, 00000000 => 0000000000000000 (00200000 00000000)
cmpwi 0000000000000000, 000003e7 => 0000000000000000 (00800000 00000000)
cmpwi 0000000000000000, 0000ffff => 0000000000000000 (00400000 00000000)
cmpwi 0000001cbe991def, 00000000 => 0000000000000000 (00800000 00000000)
cmpwi 0000001cbe991def, 000003e7 => 0000000000000000 (00800000 00000000)
cmpwi 0000001cbe991def, 0000ffff => 0000000000000000 (00800000 00000000)
cmpwi ffffffffffffffff, 00000000 => 0000000000000000 (00800000 00000000)
cmpwi ffffffffffffffff, 000003e7 => 0000000000000000 (00800000 00000000)
cmpwi ffffffffffffffff, 0000ffff => 0000000000000000 (00200000 00000000)
cmplwi 0000000000000000, 00000000 => 0000000000000000 (00200000 00000000)
cmplwi 0000000000000000, 000003e7 => 0000000000000000 (00800000 00000000)
cmplwi 0000000000000000, 0000ffff => 0000000000000000 (00800000 00000000)
cmplwi 0000001cbe991def, 00000000 => 0000000000000000 (00400000 00000000)
cmplwi 0000001cbe991def, 000003e7 => 0000000000000000 (00400000 00000000)
cmplwi 0000001cbe991def, 0000ffff => 0000000000000000 (00400000 00000000)
cmplwi ffffffffffffffff, 00000000 => 0000000000000000 (00400000 00000000)
cmplwi ffffffffffffffff, 000003e7 => 0000000000000000 (00400000 00000000)
cmplwi ffffffffffffffff, 0000ffff => 0000000000000000 (00400000 00000000)
cmpdi 0000000000000000, 00000000 => 0000000000000000 (00200000 00000000)
cmpdi 0000000000000000, 000003e7 => 0000000000000000 (00800000 00000000)
cmpdi 0000000000000000, 0000ffff => 0000000000000000 (00400000 00000000)
cmpdi 0000001cbe991def, 00000000 => 0000000000000000 (00400000 00000000)
cmpdi 0000001cbe991def, 000003e7 => 0000000000000000 (00400000 00000000)
cmpdi 0000001cbe991def, 0000ffff => 0000000000000000 (00400000 00000000)
cmpdi ffffffffffffffff, 00000000 => 0000000000000000 (00800000 00000000)
cmpdi ffffffffffffffff, 000003e7 => 0000000000000000 (00800000 00000000)
cmpdi ffffffffffffffff, 0000ffff => 0000000000000000 (00200000 00000000)
cmpldi 0000000000000000, 00000000 => 0000000000000000 (00200000 00000000)
cmpldi 0000000000000000, 000003e7 => 0000000000000000 (00800000 00000000)
cmpldi 0000000000000000, 0000ffff => 0000000000000000 (00800000 00000000)
cmpldi 0000001cbe991def, 00000000 => 0000000000000000 (00400000 00000000)
cmpldi 0000001cbe991def, 000003e7 => 0000000000000000 (00400000 00000000)
cmpldi 0000001cbe991def, 0000ffff => 0000000000000000 (00400000 00000000)
cmpldi ffffffffffffffff, 00000000 => 0000000000000000 (00400000 00000000)
cmpldi ffffffffffffffff, 000003e7 => 0000000000000000 (00400000 00000000)
cmpldi ffffffffffffffff, 0000ffff => 0000000000000000 (00400000 00000000)
PPC integer arith insns
with one register + one 16 bits immediate args:
addi 0000000000000000, 00000000 => 0000000000000000 (00000000 00000000)
addi 0000000000000000, 000003e7 => 00000000000003e7 (00000000 00000000)
addi 0000000000000000, 0000ffff => ffffffffffffffff (00000000 00000000)
addi 0000001cbe991def, 00000000 => 0000001cbe991def (00000000 00000000)
addi 0000001cbe991def, 000003e7 => 0000001cbe9921d6 (00000000 00000000)
addi 0000001cbe991def, 0000ffff => 0000001cbe991dee (00000000 00000000)
addi ffffffffffffffff, 00000000 => ffffffffffffffff (00000000 00000000)
addi ffffffffffffffff, 000003e7 => 00000000000003e6 (00000000 00000000)
addi ffffffffffffffff, 0000ffff => fffffffffffffffe (00000000 00000000)
addic 0000000000000000, 00000000 => 0000000000000000 (00000000 00000000)
addic 0000000000000000, 000003e7 => 00000000000003e7 (00000000 00000000)
addic 0000000000000000, 0000ffff => ffffffffffffffff (00000000 00000000)
addic 0000001cbe991def, 00000000 => 0000001cbe991def (00000000 00000000)
addic 0000001cbe991def, 000003e7 => 0000001cbe9921d6 (00000000 00000000)
addic 0000001cbe991def, 0000ffff => 0000001cbe991dee (00000000 20000000)
addic ffffffffffffffff, 00000000 => ffffffffffffffff (00000000 00000000)
addic ffffffffffffffff, 000003e7 => 00000000000003e6 (00000000 20000000)
addic ffffffffffffffff, 0000ffff => fffffffffffffffe (00000000 20000000)
addis 0000000000000000, 00000000 => 0000000000000000 (00000000 00000000)
addis 0000000000000000, 000003e7 => 0000000003e70000 (00000000 00000000)
addis 0000000000000000, 0000ffff => ffffffffffff0000 (00000000 00000000)
addis 0000001cbe991def, 00000000 => 0000001cbe991def (00000000 00000000)
addis 0000001cbe991def, 000003e7 => 0000001cc2801def (00000000 00000000)
addis 0000001cbe991def, 0000ffff => 0000001cbe981def (00000000 00000000)
addis ffffffffffffffff, 00000000 => ffffffffffffffff (00000000 00000000)
addis ffffffffffffffff, 000003e7 => 0000000003e6ffff (00000000 00000000)
addis ffffffffffffffff, 0000ffff => fffffffffffeffff (00000000 00000000)
mulli 0000000000000000, 00000000 => 0000000000000000 (00000000 00000000)
mulli 0000000000000000, 000003e7 => 0000000000000000 (00000000 00000000)
mulli 0000000000000000, 0000ffff => 0000000000000000 (00000000 00000000)
mulli 0000001cbe991def, 00000000 => 0000000000000000 (00000000 00000000)
mulli 0000001cbe991def, 000003e7 => 0000702bc783cfa9 (00000000 00000000)
mulli 0000001cbe991def, 0000ffff => ffffffe34166e211 (00000000 00000000)
mulli ffffffffffffffff, 00000000 => 0000000000000000 (00000000 00000000)
mulli ffffffffffffffff, 000003e7 => fffffffffffffc19 (00000000 00000000)
mulli ffffffffffffffff, 0000ffff => 0000000000000001 (00000000 00000000)
subfic 0000000000000000, 00000000 => 0000000000000000 (00000000 20000000)
subfic 0000000000000000, 000003e7 => 00000000000003e7 (00000000 20000000)
subfic 0000000000000000, 0000ffff => ffffffffffffffff (00000000 20000000)
subfic 0000001cbe991def, 00000000 => ffffffe34166e211 (00000000 00000000)
subfic 0000001cbe991def, 000003e7 => ffffffe34166e5f8 (00000000 00000000)
subfic 0000001cbe991def, 0000ffff => ffffffe34166e210 (00000000 20000000)
subfic ffffffffffffffff, 00000000 => 0000000000000001 (00000000 00000000)
subfic ffffffffffffffff, 000003e7 => 00000000000003e8 (00000000 00000000)
subfic ffffffffffffffff, 0000ffff => 0000000000000000 (00000000 20000000)
PPC integer arith insns
with one register + one 16 bits immediate args with flags update:
addic. 0000000000000000, 00000000 => 0000000000000000 (20000000 00000000)
addic. 0000000000000000, 000003e7 => 00000000000003e7 (40000000 00000000)
addic. 0000000000000000, 0000ffff => ffffffffffffffff (80000000 00000000)
addic. 0000001cbe991def, 00000000 => 0000001cbe991def (40000000 00000000)
addic. 0000001cbe991def, 000003e7 => 0000001cbe9921d6 (40000000 00000000)
addic. 0000001cbe991def, 0000ffff => 0000001cbe991dee (40000000 20000000)
addic. ffffffffffffffff, 00000000 => ffffffffffffffff (80000000 00000000)
addic. ffffffffffffffff, 000003e7 => 00000000000003e6 (40000000 20000000)
addic. ffffffffffffffff, 0000ffff => fffffffffffffffe (80000000 20000000)
PPC integer logical insns
with one register + one 16 bits immediate args:
ori 0000000000000000, 00000000 => 0000000000000000 (00000000 00000000)
ori 0000000000000000, 000003e7 => 00000000000003e7 (00000000 00000000)
ori 0000000000000000, 0000ffff => 000000000000ffff (00000000 00000000)
ori 0000001cbe991def, 00000000 => 0000001cbe991def (00000000 00000000)
ori 0000001cbe991def, 000003e7 => 0000001cbe991fef (00000000 00000000)
ori 0000001cbe991def, 0000ffff => 0000001cbe99ffff (00000000 00000000)
ori ffffffffffffffff, 00000000 => ffffffffffffffff (00000000 00000000)
ori ffffffffffffffff, 000003e7 => ffffffffffffffff (00000000 00000000)
ori ffffffffffffffff, 0000ffff => ffffffffffffffff (00000000 00000000)
oris 0000000000000000, 00000000 => 0000000000000000 (00000000 00000000)
oris 0000000000000000, 000003e7 => 0000000003e70000 (00000000 00000000)
oris 0000000000000000, 0000ffff => 00000000ffff0000 (00000000 00000000)
oris 0000001cbe991def, 00000000 => 0000001cbe991def (00000000 00000000)
oris 0000001cbe991def, 000003e7 => 0000001cbfff1def (00000000 00000000)
oris 0000001cbe991def, 0000ffff => 0000001cffff1def (00000000 00000000)
oris ffffffffffffffff, 00000000 => ffffffffffffffff (00000000 00000000)
oris ffffffffffffffff, 000003e7 => ffffffffffffffff (00000000 00000000)
oris ffffffffffffffff, 0000ffff => ffffffffffffffff (00000000 00000000)
xori 0000000000000000, 00000000 => 0000000000000000 (00000000 00000000)
xori 0000000000000000, 000003e7 => 00000000000003e7 (00000000 00000000)
xori 0000000000000000, 0000ffff => 000000000000ffff (00000000 00000000)
xori 0000001cbe991def, 00000000 => 0000001cbe991def (00000000 00000000)
xori 0000001cbe991def, 000003e7 => 0000001cbe991e08 (00000000 00000000)
xori 0000001cbe991def, 0000ffff => 0000001cbe99e210 (00000000 00000000)
xori ffffffffffffffff, 00000000 => ffffffffffffffff (00000000 00000000)
xori ffffffffffffffff, 000003e7 => fffffffffffffc18 (00000000 00000000)
xori ffffffffffffffff, 0000ffff => ffffffffffff0000 (00000000 00000000)
xoris 0000000000000000, 00000000 => 0000000000000000 (00000000 00000000)
xoris 0000000000000000, 000003e7 => 0000000003e70000 (00000000 00000000)
xoris 0000000000000000, 0000ffff => 00000000ffff0000 (00000000 00000000)
xoris 0000001cbe991def, 00000000 => 0000001cbe991def (00000000 00000000)
xoris 0000001cbe991def, 000003e7 => 0000001cbd7e1def (00000000 00000000)
xoris 0000001cbe991def, 0000ffff => 0000001c41661def (00000000 00000000)
xoris ffffffffffffffff, 00000000 => ffffffffffffffff (00000000 00000000)
xoris ffffffffffffffff, 000003e7 => fffffffffc18ffff (00000000 00000000)
xoris ffffffffffffffff, 0000ffff => ffffffff0000ffff (00000000 00000000)
PPC integer logical insns
with one register + one 16 bits immediate args with flags update:
andi. 0000000000000000, 00000000 => 0000000000000000 (20000000 00000000)
andi. 0000000000000000, 000003e7 => 0000000000000000 (20000000 00000000)
andi. 0000000000000000, 0000ffff => 0000000000000000 (20000000 00000000)
andi. 0000001cbe991def, 00000000 => 0000000000000000 (20000000 00000000)
andi. 0000001cbe991def, 000003e7 => 00000000000001e7 (40000000 00000000)
andi. 0000001cbe991def, 0000ffff => 0000000000001def (40000000 00000000)
andi. ffffffffffffffff, 00000000 => 0000000000000000 (20000000 00000000)
andi. ffffffffffffffff, 000003e7 => 00000000000003e7 (40000000 00000000)
andi. ffffffffffffffff, 0000ffff => 000000000000ffff (40000000 00000000)
andis. 0000000000000000, 00000000 => 0000000000000000 (20000000 00000000)
andis. 0000000000000000, 000003e7 => 0000000000000000 (20000000 00000000)
andis. 0000000000000000, 0000ffff => 0000000000000000 (20000000 00000000)
andis. 0000001cbe991def, 00000000 => 0000000000000000 (20000000 00000000)
andis. 0000001cbe991def, 000003e7 => 0000000002810000 (40000000 00000000)
andis. 0000001cbe991def, 0000ffff => 00000000be990000 (40000000 00000000)
andis. ffffffffffffffff, 00000000 => 0000000000000000 (20000000 00000000)
andis. ffffffffffffffff, 000003e7 => 0000000003e70000 (40000000 00000000)
andis. ffffffffffffffff, 0000ffff => 00000000ffff0000 (40000000 00000000)
PPC condition register logical insns - two operands:
crand 0000000000000000, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
crand 0000000000000000, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
crand 0000000000000000, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
crand 0000001cbe991def, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
crand 0000001cbe991def, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
crand 0000001cbe991def, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
crand ffffffffffffffff, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
crand ffffffffffffffff, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
crand ffffffffffffffff, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
crandc 0000000000000000, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
crandc 0000000000000000, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
crandc 0000000000000000, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
crandc 0000001cbe991def, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
crandc 0000001cbe991def, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
crandc 0000001cbe991def, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
crandc ffffffffffffffff, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
crandc ffffffffffffffff, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
crandc ffffffffffffffff, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
creqv 0000000000000000, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
creqv 0000000000000000, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
creqv 0000000000000000, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
creqv 0000001cbe991def, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
creqv 0000001cbe991def, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
creqv 0000001cbe991def, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
creqv ffffffffffffffff, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
creqv ffffffffffffffff, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
creqv ffffffffffffffff, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
crnand 0000000000000000, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
crnand 0000000000000000, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
crnand 0000000000000000, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
crnand 0000001cbe991def, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
crnand 0000001cbe991def, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
crnand 0000001cbe991def, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
crnand ffffffffffffffff, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
crnand ffffffffffffffff, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
crnand ffffffffffffffff, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
crnor 0000000000000000, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
crnor 0000000000000000, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
crnor 0000000000000000, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
crnor 0000001cbe991def, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
crnor 0000001cbe991def, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
crnor 0000001cbe991def, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
crnor ffffffffffffffff, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
crnor ffffffffffffffff, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
crnor ffffffffffffffff, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
cror 0000000000000000, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
cror 0000000000000000, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
cror 0000000000000000, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
cror 0000001cbe991def, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
cror 0000001cbe991def, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
cror 0000001cbe991def, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
cror ffffffffffffffff, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
cror ffffffffffffffff, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
cror ffffffffffffffff, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
crorc 0000000000000000, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
crorc 0000000000000000, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
crorc 0000000000000000, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
crorc 0000001cbe991def, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
crorc 0000001cbe991def, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
crorc 0000001cbe991def, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
crorc ffffffffffffffff, 0000000000000000 => 00000000ffff0000 (00004000 00000000)
crorc ffffffffffffffff, 0000001cbe991def => 00000000ffff0000 (00004000 00000000)
crorc ffffffffffffffff, ffffffffffffffff => 00000000ffff0000 (00004000 00000000)
crxor 0000000000000000, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
crxor 0000000000000000, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
crxor 0000000000000000, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
crxor 0000001cbe991def, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
crxor 0000001cbe991def, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
crxor 0000001cbe991def, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
crxor ffffffffffffffff, 0000000000000000 => 00000000ffff0000 (00000000 00000000)
crxor ffffffffffffffff, 0000001cbe991def => 00000000ffff0000 (00000000 00000000)
crxor ffffffffffffffff, ffffffffffffffff => 00000000ffff0000 (00000000 00000000)
PPC integer arith insns with one arg and carry:
addme 0000000000000000 => ffffffffffffffff (00000000 00000000)
addme 0000001cbe991def => 0000001cbe991dee (00000000 20000000)
addme ffffffffffffffff => fffffffffffffffe (00000000 20000000)
addme 0000000000000000 => 0000000000000000 (00000000 20000000)
addme 0000001cbe991def => 0000001cbe991def (00000000 20000000)
addme ffffffffffffffff => ffffffffffffffff (00000000 20000000)
addmeo 0000000000000000 => ffffffffffffffff (00000000 00000000)
addmeo 0000001cbe991def => 0000001cbe991dee (00000000 20000000)
addmeo ffffffffffffffff => fffffffffffffffe (00000000 20000000)
addmeo 0000000000000000 => 0000000000000000 (00000000 20000000)
addmeo 0000001cbe991def => 0000001cbe991def (00000000 20000000)
addmeo ffffffffffffffff => ffffffffffffffff (00000000 20000000)
addze 0000000000000000 => 0000000000000000 (00000000 00000000)
addze 0000001cbe991def => 0000001cbe991def (00000000 00000000)
addze ffffffffffffffff => ffffffffffffffff (00000000 00000000)
addze 0000000000000000 => 0000000000000001 (00000000 00000000)
addze 0000001cbe991def => 0000001cbe991df0 (00000000 00000000)
addze ffffffffffffffff => 0000000000000000 (00000000 20000000)
addzeo 0000000000000000 => 0000000000000000 (00000000 00000000)
addzeo 0000001cbe991def => 0000001cbe991def (00000000 00000000)
addzeo ffffffffffffffff => ffffffffffffffff (00000000 00000000)
addzeo 0000000000000000 => 0000000000000001 (00000000 00000000)
addzeo 0000001cbe991def => 0000001cbe991df0 (00000000 00000000)
addzeo ffffffffffffffff => 0000000000000000 (00000000 20000000)
subfme 0000000000000000 => fffffffffffffffe (00000000 20000000)
subfme 0000001cbe991def => ffffffe34166e20f (00000000 20000000)
subfme ffffffffffffffff => ffffffffffffffff (00000000 00000000)
subfme 0000000000000000 => ffffffffffffffff (00000000 20000000)
subfme 0000001cbe991def => ffffffe34166e210 (00000000 20000000)
subfme ffffffffffffffff => 0000000000000000 (00000000 20000000)
subfmeo 0000000000000000 => fffffffffffffffe (00000000 20000000)
subfmeo 0000001cbe991def => ffffffe34166e20f (00000000 20000000)
subfmeo ffffffffffffffff => ffffffffffffffff (00000000 00000000)
subfmeo 0000000000000000 => ffffffffffffffff (00000000 20000000)
subfmeo 0000001cbe991def => ffffffe34166e210 (00000000 20000000)
subfmeo ffffffffffffffff => 0000000000000000 (00000000 20000000)
subfze 0000000000000000 => ffffffffffffffff (00000000 00000000)
subfze 0000001cbe991def => ffffffe34166e210 (00000000 00000000)
subfze ffffffffffffffff => 0000000000000000 (00000000 00000000)
subfze 0000000000000000 => 0000000000000000 (00000000 20000000)
subfze 0000001cbe991def => ffffffe34166e211 (00000000 00000000)
subfze ffffffffffffffff => 0000000000000001 (00000000 00000000)
subfzeo 0000000000000000 => ffffffffffffffff (00000000 00000000)
subfzeo 0000001cbe991def => ffffffe34166e210 (00000000 00000000)
subfzeo ffffffffffffffff => 0000000000000000 (00000000 00000000)
subfzeo 0000000000000000 => 0000000000000000 (00000000 20000000)
subfzeo 0000001cbe991def => ffffffe34166e211 (00000000 00000000)
subfzeo ffffffffffffffff => 0000000000000001 (00000000 00000000)
PPC integer arith insns with one arg and carry with flags update:
addme. 0000000000000000 => ffffffffffffffff (80000000 00000000)
addme. 0000001cbe991def => 0000001cbe991dee (40000000 20000000)
addme. ffffffffffffffff => fffffffffffffffe (80000000 20000000)
addme. 0000000000000000 => 0000000000000000 (20000000 20000000)
addme. 0000001cbe991def => 0000001cbe991def (40000000 20000000)
addme. ffffffffffffffff => ffffffffffffffff (80000000 20000000)
addmeo. 0000000000000000 => ffffffffffffffff (80000000 00000000)
addmeo. 0000001cbe991def => 0000001cbe991dee (40000000 20000000)
addmeo. ffffffffffffffff => fffffffffffffffe (80000000 20000000)
addmeo. 0000000000000000 => 0000000000000000 (20000000 20000000)
addmeo. 0000001cbe991def => 0000001cbe991def (40000000 20000000)
addmeo. ffffffffffffffff => ffffffffffffffff (80000000 20000000)
addze. 0000000000000000 => 0000000000000000 (20000000 00000000)
addze. 0000001cbe991def => 0000001cbe991def (40000000 00000000)
addze. ffffffffffffffff => ffffffffffffffff (80000000 00000000)
addze. 0000000000000000 => 0000000000000001 (40000000 00000000)
addze. 0000001cbe991def => 0000001cbe991df0 (40000000 00000000)
addze. ffffffffffffffff => 0000000000000000 (20000000 20000000)
addzeo. 0000000000000000 => 0000000000000000 (20000000 00000000)
addzeo. 0000001cbe991def => 0000001cbe991def (40000000 00000000)
addzeo. ffffffffffffffff => ffffffffffffffff (80000000 00000000)
addzeo. 0000000000000000 => 0000000000000001 (40000000 00000000)
addzeo. 0000001cbe991def => 0000001cbe991df0 (40000000 00000000)
addzeo. ffffffffffffffff => 0000000000000000 (20000000 20000000)
subfme. 0000000000000000 => fffffffffffffffe (80000000 20000000)
subfme. 0000001cbe991def => ffffffe34166e20f (80000000 20000000)
subfme. ffffffffffffffff => ffffffffffffffff (80000000 00000000)
subfme. 0000000000000000 => ffffffffffffffff (80000000 20000000)
subfme. 0000001cbe991def => ffffffe34166e210 (80000000 20000000)
subfme. ffffffffffffffff => 0000000000000000 (20000000 20000000)
subfmeo. 0000000000000000 => fffffffffffffffe (80000000 20000000)
subfmeo. 0000001cbe991def => ffffffe34166e20f (80000000 20000000)
subfmeo. ffffffffffffffff => ffffffffffffffff (80000000 00000000)
subfmeo. 0000000000000000 => ffffffffffffffff (80000000 20000000)
subfmeo. 0000001cbe991def => ffffffe34166e210 (80000000 20000000)
subfmeo. ffffffffffffffff => 0000000000000000 (20000000 20000000)
subfze. 0000000000000000 => ffffffffffffffff (80000000 00000000)
subfze. 0000001cbe991def => ffffffe34166e210 (80000000 00000000)
subfze. ffffffffffffffff => 0000000000000000 (20000000 00000000)
subfze. 0000000000000000 => 0000000000000000 (20000000 20000000)
subfze. 0000001cbe991def => ffffffe34166e211 (80000000 00000000)
subfze. ffffffffffffffff => 0000000000000001 (40000000 00000000)
subfzeo. 0000000000000000 => ffffffffffffffff (80000000 00000000)
subfzeo. 0000001cbe991def => ffffffe34166e210 (80000000 00000000)
subfzeo. ffffffffffffffff => 0000000000000000 (20000000 00000000)
subfzeo. 0000000000000000 => 0000000000000000 (20000000 20000000)
subfzeo. 0000001cbe991def => ffffffe34166e211 (80000000 00000000)
subfzeo. ffffffffffffffff => 0000000000000001 (40000000 00000000)
PPC integer logical insns with one arg:
cntlzw 0000000000000000 => 0000000000000020 (00000000 00000000)
cntlzw 0000001cbe991def => 0000000000000000 (00000000 00000000)
cntlzw ffffffffffffffff => 0000000000000000 (00000000 00000000)
extsb 0000000000000000 => 0000000000000000 (00000000 00000000)
extsb 0000001cbe991def => ffffffffffffffef (00000000 00000000)
extsb ffffffffffffffff => ffffffffffffffff (00000000 00000000)
extsh 0000000000000000 => 0000000000000000 (00000000 00000000)
extsh 0000001cbe991def => 0000000000001def (00000000 00000000)
extsh ffffffffffffffff => ffffffffffffffff (00000000 00000000)
neg 0000000000000000 => 0000000000000000 (00000000 00000000)
neg 0000001cbe991def => ffffffe34166e211 (00000000 00000000)
neg ffffffffffffffff => 0000000000000001 (00000000 00000000)
nego 0000000000000000 => 0000000000000000 (00000000 00000000)
nego 0000001cbe991def => ffffffe34166e211 (00000000 00000000)
nego ffffffffffffffff => 0000000000000001 (00000000 00000000)
cntlzd 0000000000000000 => 0000000000000040 (00000000 00000000)
cntlzd 0000001cbe991def => 000000000000001b (00000000 00000000)
cntlzd ffffffffffffffff => 0000000000000000 (00000000 00000000)
extsw 0000000000000000 => 0000000000000000 (00000000 00000000)
extsw 0000001cbe991def => ffffffffbe991def (00000000 00000000)
extsw ffffffffffffffff => ffffffffffffffff (00000000 00000000)
PPC integer logical insns with one arg with flags update:
cntlzw. 0000000000000000 => 0000000000000020 (40000000 00000000)
cntlzw. 0000001cbe991def => 0000000000000000 (20000000 00000000)
cntlzw. ffffffffffffffff => 0000000000000000 (20000000 00000000)
extsb. 0000000000000000 => 0000000000000000 (20000000 00000000)
extsb. 0000001cbe991def => ffffffffffffffef (80000000 00000000)
extsb. ffffffffffffffff => ffffffffffffffff (80000000 00000000)
extsh. 0000000000000000 => 0000000000000000 (20000000 00000000)
extsh. 0000001cbe991def => 0000000000001def (40000000 00000000)
extsh. ffffffffffffffff => ffffffffffffffff (80000000 00000000)
neg. 0000000000000000 => 0000000000000000 (20000000 00000000)
neg. 0000001cbe991def => ffffffe34166e211 (80000000 00000000)
neg. ffffffffffffffff => 0000000000000001 (40000000 00000000)
nego. 0000000000000000 => 0000000000000000 (20000000 00000000)
nego. 0000001cbe991def => ffffffe34166e211 (80000000 00000000)
nego. ffffffffffffffff => 0000000000000001 (40000000 00000000)
cntlzd. 0000000000000000 => 0000000000000040 (40000000 00000000)
cntlzd. 0000001cbe991def => 000000000000001b (40000000 00000000)
cntlzd. ffffffffffffffff => 0000000000000000 (20000000 00000000)
extsw. 0000000000000000 => 0000000000000000 (20000000 00000000)
extsw. 0000001cbe991def => ffffffffbe991def (80000000 00000000)
extsw. ffffffffffffffff => ffffffffffffffff (80000000 00000000)
PPC logical insns with special forms:
rlwimi 0000000000000000, 0, 0, 0 => 0000000000000000 (00000000 00000000)
rlwimi 0000000000000000, 0, 0, 31 => 0000000000000000 (00000000 00000000)
rlwimi 0000000000000000, 0, 31, 0 => 0000000000000000 (00000000 00000000)
rlwimi 0000000000000000, 0, 31, 31 => 0000000000000000 (00000000 00000000)
rlwimi 0000000000000000, 31, 0, 0 => 0000000000000000 (00000000 00000000)
rlwimi 0000000000000000, 31, 0, 31 => 0000000000000000 (00000000 00000000)
rlwimi 0000000000000000, 31, 31, 0 => 0000000000000000 (00000000 00000000)
rlwimi 0000000000000000, 31, 31, 31 => 0000000000000000 (00000000 00000000)
rlwimi 0000001cbe991def, 0, 0, 0 => 0000000080000000 (00000000 00000000)
rlwimi 0000001cbe991def, 0, 0, 31 => 00000000be991def (00000000 00000000)
rlwimi 0000001cbe991def, 0, 31, 0 => be991defbe991def (00000000 00000000)
rlwimi 0000001cbe991def, 0, 31, 31 => be991defbe991def (00000000 00000000)
rlwimi 0000001cbe991def, 31, 0, 0 => be991defbe991def (00000000 00000000)
rlwimi 0000001cbe991def, 31, 0, 31 => be991defdf4c8ef7 (00000000 00000000)
rlwimi 0000001cbe991def, 31, 31, 0 => df4c8ef7df4c8ef7 (00000000 00000000)
rlwimi 0000001cbe991def, 31, 31, 31 => df4c8ef7df4c8ef7 (00000000 00000000)
rlwimi ffffffffffffffff, 0, 0, 0 => df4c8ef7df4c8ef7 (00000000 00000000)
rlwimi ffffffffffffffff, 0, 0, 31 => df4c8ef7ffffffff (00000000 00000000)
rlwimi ffffffffffffffff, 0, 31, 0 => ffffffffffffffff (00000000 00000000)
rlwimi ffffffffffffffff, 0, 31, 31 => ffffffffffffffff (00000000 00000000)
rlwimi ffffffffffffffff, 31, 0, 0 => ffffffffffffffff (00000000 00000000)
rlwimi ffffffffffffffff, 31, 0, 31 => ffffffffffffffff (00000000 00000000)
rlwimi ffffffffffffffff, 31, 31, 0 => ffffffffffffffff (00000000 00000000)
rlwimi ffffffffffffffff, 31, 31, 31 => ffffffffffffffff (00000000 00000000)
rlwinm 0000000000000000, 0, 0, 0 => 0000000000000000 (00000000 00000000)
rlwinm 0000000000000000, 0, 0, 31 => 0000000000000000 (00000000 00000000)
rlwinm 0000000000000000, 0, 31, 0 => 0000000000000000 (00000000 00000000)
rlwinm 0000000000000000, 0, 31, 31 => 0000000000000000 (00000000 00000000)
rlwinm 0000000000000000, 31, 0, 0 => 0000000000000000 (00000000 00000000)
rlwinm 0000000000000000, 31, 0, 31 => 0000000000000000 (00000000 00000000)
rlwinm 0000000000000000, 31, 31, 0 => 0000000000000000 (00000000 00000000)
rlwinm 0000000000000000, 31, 31, 31 => 0000000000000000 (00000000 00000000)
rlwinm 0000001cbe991def, 0, 0, 0 => 0000000080000000 (00000000 00000000)
rlwinm 0000001cbe991def, 0, 0, 31 => 00000000be991def (00000000 00000000)
rlwinm 0000001cbe991def, 0, 31, 0 => be991def80000001 (00000000 00000000)
rlwinm 0000001cbe991def, 0, 31, 31 => 0000000000000001 (00000000 00000000)
rlwinm 0000001cbe991def, 31, 0, 0 => 0000000080000000 (00000000 00000000)
rlwinm 0000001cbe991def, 31, 0, 31 => 00000000df4c8ef7 (00000000 00000000)
rlwinm 0000001cbe991def, 31, 31, 0 => df4c8ef780000001 (00000000 00000000)
rlwinm 0000001cbe991def, 31, 31, 31 => 0000000000000001 (00000000 00000000)
rlwinm ffffffffffffffff, 0, 0, 0 => 0000000080000000 (00000000 00000000)
rlwinm ffffffffffffffff, 0, 0, 31 => 00000000ffffffff (00000000 00000000)
rlwinm ffffffffffffffff, 0, 31, 0 => ffffffff80000001 (00000000 00000000)
rlwinm ffffffffffffffff, 0, 31, 31 => 0000000000000001 (00000000 00000000)
rlwinm ffffffffffffffff, 31, 0, 0 => 0000000080000000 (00000000 00000000)
rlwinm ffffffffffffffff, 31, 0, 31 => 00000000ffffffff (00000000 00000000)
rlwinm ffffffffffffffff, 31, 31, 0 => ffffffff80000001 (00000000 00000000)
rlwinm ffffffffffffffff, 31, 31, 31 => 0000000000000001 (00000000 00000000)
rlwnm 0000000000000000, 0000000000000000, 0, 0 => 0000000000000000 (00000000 00000000)
rlwnm 0000000000000000, 0000000000000000, 0, 31 => 0000000000000000 (00000000 00000000)
rlwnm 0000000000000000, 0000000000000000, 31, 0 => 0000000000000000 (00000000 00000000)
rlwnm 0000000000000000, 0000000000000000, 31, 31 => 0000000000000000 (00000000 00000000)
rlwnm 0000000000000000, 0000001cbe991def, 0, 0 => 0000000000000000 (00000000 00000000)
rlwnm 0000000000000000, 0000001cbe991def, 0, 31 => 0000000000000000 (00000000 00000000)
rlwnm 0000000000000000, 0000001cbe991def, 31, 0 => 0000000000000000 (00000000 00000000)
rlwnm 0000000000000000, 0000001cbe991def, 31, 31 => 0000000000000000 (00000000 00000000)
rlwnm 0000000000000000, ffffffffffffffff, 0, 0 => 0000000000000000 (00000000 00000000)
rlwnm 0000000000000000, ffffffffffffffff, 0, 31 => 0000000000000000 (00000000 00000000)
rlwnm 0000000000000000, ffffffffffffffff, 31, 0 => 0000000000000000 (00000000 00000000)
rlwnm 0000000000000000, ffffffffffffffff, 31, 31 => 0000000000000000 (00000000 00000000)
rlwnm 0000001cbe991def, 0000000000000000, 0, 0 => 0000000080000000 (00000000 00000000)
rlwnm 0000001cbe991def, 0000000000000000, 0, 31 => 00000000be991def (00000000 00000000)
rlwnm 0000001cbe991def, 0000000000000000, 31, 0 => be991def80000001 (00000000 00000000)
rlwnm 0000001cbe991def, 0000000000000000, 31, 31 => 0000000000000001 (00000000 00000000)
rlwnm 0000001cbe991def, 0000001cbe991def, 0, 0 => 0000000080000000 (00000000 00000000)
rlwnm 0000001cbe991def, 0000001cbe991def, 0, 31 => 000000008ef7df4c (00000000 00000000)
rlwnm 0000001cbe991def, 0000001cbe991def, 31, 0 => 8ef7df4c80000000 (00000000 00000000)
rlwnm 0000001cbe991def, 0000001cbe991def, 31, 31 => 0000000000000000 (00000000 00000000)
rlwnm 0000001cbe991def, ffffffffffffffff, 0, 0 => 0000000080000000 (00000000 00000000)
rlwnm 0000001cbe991def, ffffffffffffffff, 0, 31 => 00000000df4c8ef7 (00000000 00000000)
rlwnm 0000001cbe991def, ffffffffffffffff, 31, 0 => df4c8ef780000001 (00000000 00000000)
rlwnm 0000001cbe991def, ffffffffffffffff, 31, 31 => 0000000000000001 (00000000 00000000)
rlwnm ffffffffffffffff, 0000000000000000, 0, 0 => 0000000080000000 (00000000 00000000)
rlwnm ffffffffffffffff, 0000000000000000, 0, 31 => 00000000ffffffff (00000000 00000000)
rlwnm ffffffffffffffff, 0000000000000000, 31, 0 => ffffffff80000001 (00000000 00000000)
rlwnm ffffffffffffffff, 0000000000000000, 31, 31 => 0000000000000001 (00000000 00000000)
rlwnm ffffffffffffffff, 0000001cbe991def, 0, 0 => 0000000080000000 (00000000 00000000)
rlwnm ffffffffffffffff, 0000001cbe991def, 0, 31 => 00000000ffffffff (00000000 00000000)
rlwnm ffffffffffffffff, 0000001cbe991def, 31, 0 => ffffffff80000001 (00000000 00000000)
rlwnm ffffffffffffffff, 0000001cbe991def, 31, 31 => 0000000000000001 (00000000 00000000)
rlwnm ffffffffffffffff, ffffffffffffffff, 0, 0 => 0000000080000000 (00000000 00000000)
rlwnm ffffffffffffffff, ffffffffffffffff, 0, 31 => 00000000ffffffff (00000000 00000000)
rlwnm ffffffffffffffff, ffffffffffffffff, 31, 0 => ffffffff80000001 (00000000 00000000)
rlwnm ffffffffffffffff, ffffffffffffffff, 31, 31 => 0000000000000001 (00000000 00000000)
srawi 0000000000000000, 0 => 0000000000000000 (00000000 00000000)
srawi 0000000000000000, 31 => 0000000000000000 (00000000 00000000)
srawi 0000001cbe991def, 0 => ffffffffbe991def (00000000 00000000)
srawi 0000001cbe991def, 31 => ffffffffffffffff (00000000 20000000)
srawi ffffffffffffffff, 0 => ffffffffffffffff (00000000 00000000)
srawi ffffffffffffffff, 31 => ffffffffffffffff (00000000 20000000)
mfcr (0000000000000000) => 0000000000000000 (00000000 00000000)
mfcr (0000001cbe991def) => 00000000be991def (be991def 00000000)
mfcr (ffffffffffffffff) => 00000000ffffffff (ffffffff 00000000)
mfspr 1 (00000000) -> mtxer -> mfxer => 0000000000000000
mfspr 1 (be991def) -> mtxer -> mfxer => 00000000a000006f
mfspr 1 (ffffffff) -> mtxer -> mfxer => 00000000e000007f
mfspr 8 (00000000) -> mtlr -> mflr => 0000000000000000
mfspr 8 (be991def) -> mtlr -> mflr => ffffffffbe991def
mfspr 8 (ffffffff) -> mtlr -> mflr => ffffffffffffffff
mfspr 9 (00000000) -> mtctr -> mfctr => 0000000000000000
mfspr 9 (be991def) -> mtctr -> mfctr => ffffffffbe991def
mfspr 9 (ffffffff) -> mtctr -> mfctr => ffffffffffffffff
rldcl 0000000000000000, 0000000000000000, 0 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000000000000000, 7 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000000000000000, 14 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000000000000000, 21 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000000000000000, 28 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000000000000000, 35 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000000000000000, 42 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000000000000000, 49 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000000000000000, 56 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000000000000000, 63 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000001cbe991def, 0 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000001cbe991def, 7 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000001cbe991def, 14 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000001cbe991def, 21 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000001cbe991def, 28 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000001cbe991def, 35 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000001cbe991def, 42 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000001cbe991def, 49 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000001cbe991def, 56 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, 0000001cbe991def, 63 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, ffffffffffffffff, 0 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, ffffffffffffffff, 7 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, ffffffffffffffff, 14 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, ffffffffffffffff, 21 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, ffffffffffffffff, 28 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, ffffffffffffffff, 35 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, ffffffffffffffff, 42 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, ffffffffffffffff, 49 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, ffffffffffffffff, 56 => 0000000000000000 (00000000 00000000)
rldcl 0000000000000000, ffffffffffffffff, 63 => 0000000000000000 (00000000 00000000)
rldcl 0000001cbe991def, 0000000000000000, 0 => 0000001cbe991def (00000000 00000000)
rldcl 0000001cbe991def, 0000000000000000, 7 => 0000001cbe991def (00000000 00000000)
rldcl 0000001cbe991def, 0000000000000000, 14 => 0000001cbe991def (00000000 00000000)
rldcl 0000001cbe991def, 0000000000000000, 21 => 0000001cbe991def (00000000 00000000)
rldcl 0000001cbe991def, 0000000000000000, 28 => 0000000cbe991def (00000000 00000000)
rldcl 0000001cbe991def, 0000000000000000, 35 => 000000001e991def (00000000 00000000)
rldcl 0000001cbe991def, 0000000000000000, 42 => 0000000000191def (00000000 00000000)
rldcl 0000001cbe991def, 0000000000000000, 49 => 0000000000001def (00000000 00000000)
rldcl 0000001cbe991def, 0000000000000000, 56 => 00000000000000ef (00000000 00000000)
rldcl 0000001cbe991def, 0000000000000000, 63 => 0000000000000001 (00000000 00000000)
rldcl 0000001cbe991def, 0000001cbe991def, 0 => 8ef78000000e5f4c (00000000 00000000)
rldcl 0000001cbe991def, 0000001cbe991def, 7 => 00f78000000e5f4c (00000000 00000000)
rldcl 0000001cbe991def, 0000001cbe991def, 14 => 00038000000e5f4c (00000000 00000000)
rldcl 0000001cbe991def, 0000001cbe991def, 21 => 00000000000e5f4c (00000000 00000000)
rldcl 0000001cbe991def, 0000001cbe991def, 28 => 00000000000e5f4c (00000000 00000000)
rldcl 0000001cbe991def, 0000001cbe991def, 35 => 00000000000e5f4c (00000000 00000000)
rldcl 0000001cbe991def, 0000001cbe991def, 42 => 00000000000e5f4c (00000000 00000000)
rldcl 0000001cbe991def, 0000001cbe991def, 49 => 0000000000005f4c (00000000 00000000)
rldcl 0000001cbe991def, 0000001cbe991def, 56 => 000000000000004c (00000000 00000000)
rldcl 0000001cbe991def, 0000001cbe991def, 63 => 0000000000000000 (00000000 00000000)
rldcl 0000001cbe991def, ffffffffffffffff, 0 => 8000000e5f4c8ef7 (00000000 00000000)
rldcl 0000001cbe991def, ffffffffffffffff, 7 => 0000000e5f4c8ef7 (00000000 00000000)
rldcl 0000001cbe991def, ffffffffffffffff, 14 => 0000000e5f4c8ef7 (00000000 00000000)
rldcl 0000001cbe991def, ffffffffffffffff, 21 => 0000000e5f4c8ef7 (00000000 00000000)
rldcl 0000001cbe991def, ffffffffffffffff, 28 => 0000000e5f4c8ef7 (00000000 00000000)
rldcl 0000001cbe991def, ffffffffffffffff, 35 => 000000001f4c8ef7 (00000000 00000000)
rldcl 0000001cbe991def, ffffffffffffffff, 42 => 00000000000c8ef7 (00000000 00000000)
rldcl 0000001cbe991def, ffffffffffffffff, 49 => 0000000000000ef7 (00000000 00000000)
rldcl 0000001cbe991def, ffffffffffffffff, 56 => 00000000000000f7 (00000000 00000000)
rldcl 0000001cbe991def, ffffffffffffffff, 63 => 0000000000000001 (00000000 00000000)
rldcl ffffffffffffffff, 0000000000000000, 0 => ffffffffffffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000000000000000, 7 => 01ffffffffffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000000000000000, 14 => 0003ffffffffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000000000000000, 21 => 000007ffffffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000000000000000, 28 => 0000000fffffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000000000000000, 35 => 000000001fffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000000000000000, 42 => 00000000003fffff (00000000 00000000)
rldcl ffffffffffffffff, 0000000000000000, 49 => 0000000000007fff (00000000 00000000)
rldcl ffffffffffffffff, 0000000000000000, 56 => 00000000000000ff (00000000 00000000)
rldcl ffffffffffffffff, 0000000000000000, 63 => 0000000000000001 (00000000 00000000)
rldcl ffffffffffffffff, 0000001cbe991def, 0 => ffffffffffffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000001cbe991def, 7 => 01ffffffffffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000001cbe991def, 14 => 0003ffffffffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000001cbe991def, 21 => 000007ffffffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000001cbe991def, 28 => 0000000fffffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000001cbe991def, 35 => 000000001fffffff (00000000 00000000)
rldcl ffffffffffffffff, 0000001cbe991def, 42 => 00000000003fffff (00000000 00000000)
rldcl ffffffffffffffff, 0000001cbe991def, 49 => 0000000000007fff (00000000 00000000)
rldcl ffffffffffffffff, 0000001cbe991def, 56 => 00000000000000ff (00000000 00000000)
rldcl ffffffffffffffff, 0000001cbe991def, 63 => 0000000000000001 (00000000 00000000)
rldcl ffffffffffffffff, ffffffffffffffff, 0 => ffffffffffffffff (00000000 00000000)
rldcl ffffffffffffffff, ffffffffffffffff, 7 => 01ffffffffffffff (00000000 00000000)
rldcl ffffffffffffffff, ffffffffffffffff, 14 => 0003ffffffffffff (00000000 00000000)
rldcl ffffffffffffffff, ffffffffffffffff, 21 => 000007ffffffffff (00000000 00000000)
rldcl ffffffffffffffff, ffffffffffffffff, 28 => 0000000fffffffff (00000000 00000000)
rldcl ffffffffffffffff, ffffffffffffffff, 35 => 000000001fffffff (00000000 00000000)
rldcl ffffffffffffffff, ffffffffffffffff, 42 => 00000000003fffff (00000000 00000000)
rldcl ffffffffffffffff, ffffffffffffffff, 49 => 0000000000007fff (00000000 00000000)
rldcl ffffffffffffffff, ffffffffffffffff, 56 => 00000000000000ff (00000000 00000000)
rldcl ffffffffffffffff, ffffffffffffffff, 63 => 0000000000000001 (00000000 00000000)
rldcr 0000000000000000, 0000000000000000, 0 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000000000000000, 7 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000000000000000, 14 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000000000000000, 21 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000000000000000, 28 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000000000000000, 35 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000000000000000, 42 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000000000000000, 49 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000000000000000, 56 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000000000000000, 63 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000001cbe991def, 0 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000001cbe991def, 7 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000001cbe991def, 14 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000001cbe991def, 21 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000001cbe991def, 28 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000001cbe991def, 35 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000001cbe991def, 42 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000001cbe991def, 49 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000001cbe991def, 56 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, 0000001cbe991def, 63 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, ffffffffffffffff, 0 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, ffffffffffffffff, 7 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, ffffffffffffffff, 14 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, ffffffffffffffff, 21 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, ffffffffffffffff, 28 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, ffffffffffffffff, 35 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, ffffffffffffffff, 42 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, ffffffffffffffff, 49 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, ffffffffffffffff, 56 => 0000000000000000 (00000000 00000000)
rldcr 0000000000000000, ffffffffffffffff, 63 => 0000000000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000000000000000, 0 => 0000000000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000000000000000, 7 => 0000000000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000000000000000, 14 => 0000000000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000000000000000, 21 => 0000000000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000000000000000, 28 => 0000001800000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000000000000000, 35 => 0000001cb0000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000000000000000, 42 => 0000001cbe800000 (00000000 00000000)
rldcr 0000001cbe991def, 0000000000000000, 49 => 0000001cbe990000 (00000000 00000000)
rldcr 0000001cbe991def, 0000000000000000, 56 => 0000001cbe991d80 (00000000 00000000)
rldcr 0000001cbe991def, 0000000000000000, 63 => 0000001cbe991def (00000000 00000000)
rldcr 0000001cbe991def, 0000001cbe991def, 0 => 8000000000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000001cbe991def, 7 => 8e00000000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000001cbe991def, 14 => 8ef6000000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000001cbe991def, 21 => 8ef7800000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000001cbe991def, 28 => 8ef7800000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000001cbe991def, 35 => 8ef7800000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000001cbe991def, 42 => 8ef7800000000000 (00000000 00000000)
rldcr 0000001cbe991def, 0000001cbe991def, 49 => 8ef78000000e4000 (00000000 00000000)
rldcr 0000001cbe991def, 0000001cbe991def, 56 => 8ef78000000e5f00 (00000000 00000000)
rldcr 0000001cbe991def, 0000001cbe991def, 63 => 8ef78000000e5f4c (00000000 00000000)
rldcr 0000001cbe991def, ffffffffffffffff, 0 => 8000000000000000 (00000000 00000000)
rldcr 0000001cbe991def, ffffffffffffffff, 7 => 8000000000000000 (00000000 00000000)
rldcr 0000001cbe991def, ffffffffffffffff, 14 => 8000000000000000 (00000000 00000000)
rldcr 0000001cbe991def, ffffffffffffffff, 21 => 8000000000000000 (00000000 00000000)
rldcr 0000001cbe991def, ffffffffffffffff, 28 => 8000000800000000 (00000000 00000000)
rldcr 0000001cbe991def, ffffffffffffffff, 35 => 8000000e50000000 (00000000 00000000)
rldcr 0000001cbe991def, ffffffffffffffff, 42 => 8000000e5f400000 (00000000 00000000)
rldcr 0000001cbe991def, ffffffffffffffff, 49 => 8000000e5f4c8000 (00000000 00000000)
rldcr 0000001cbe991def, ffffffffffffffff, 56 => 8000000e5f4c8e80 (00000000 00000000)
rldcr 0000001cbe991def, ffffffffffffffff, 63 => 8000000e5f4c8ef7 (00000000 00000000)
rldcr ffffffffffffffff, 0000000000000000, 0 => 8000000000000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000000000000000, 7 => ff00000000000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000000000000000, 14 => fffe000000000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000000000000000, 21 => fffffc0000000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000000000000000, 28 => fffffff800000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000000000000000, 35 => fffffffff0000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000000000000000, 42 => ffffffffffe00000 (00000000 00000000)
rldcr ffffffffffffffff, 0000000000000000, 49 => ffffffffffffc000 (00000000 00000000)
rldcr ffffffffffffffff, 0000000000000000, 56 => ffffffffffffff80 (00000000 00000000)
rldcr ffffffffffffffff, 0000000000000000, 63 => ffffffffffffffff (00000000 00000000)
rldcr ffffffffffffffff, 0000001cbe991def, 0 => 8000000000000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000001cbe991def, 7 => ff00000000000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000001cbe991def, 14 => fffe000000000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000001cbe991def, 21 => fffffc0000000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000001cbe991def, 28 => fffffff800000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000001cbe991def, 35 => fffffffff0000000 (00000000 00000000)
rldcr ffffffffffffffff, 0000001cbe991def, 42 => ffffffffffe00000 (00000000 00000000)
rldcr ffffffffffffffff, 0000001cbe991def, 49 => ffffffffffffc000 (00000000 00000000)
rldcr ffffffffffffffff, 0000001cbe991def, 56 => ffffffffffffff80 (00000000 00000000)
rldcr ffffffffffffffff, 0000001cbe991def, 63 => ffffffffffffffff (00000000 00000000)
rldcr ffffffffffffffff, ffffffffffffffff, 0 => 8000000000000000 (00000000 00000000)
rldcr ffffffffffffffff, ffffffffffffffff, 7 => ff00000000000000 (00000000 00000000)
rldcr ffffffffffffffff, ffffffffffffffff, 14 => fffe000000000000 (00000000 00000000)
rldcr ffffffffffffffff, ffffffffffffffff, 21 => fffffc0000000000 (00000000 00000000)
rldcr ffffffffffffffff, ffffffffffffffff, 28 => fffffff800000000 (00000000 00000000)
rldcr ffffffffffffffff, ffffffffffffffff, 35 => fffffffff0000000 (00000000 00000000)
rldcr ffffffffffffffff, ffffffffffffffff, 42 => ffffffffffe00000 (00000000 00000000)
rldcr ffffffffffffffff, ffffffffffffffff, 49 => ffffffffffffc000 (00000000 00000000)
rldcr ffffffffffffffff, ffffffffffffffff, 56 => ffffffffffffff80 (00000000 00000000)
rldcr ffffffffffffffff, ffffffffffffffff, 63 => ffffffffffffffff (00000000 00000000)
rldic 0000000000000000, 0, 0 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 0, 7 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 0, 14 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 0, 21 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 0, 28 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 0, 35 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 0, 42 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 0, 49 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 0, 56 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 0, 63 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 7, 0 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 7, 7 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 7, 14 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 7, 21 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 7, 28 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 7, 35 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 7, 42 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 7, 49 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 7, 56 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 7, 63 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 14, 0 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 14, 7 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 14, 14 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 14, 21 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 14, 28 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 14, 35 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 14, 42 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 14, 49 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 14, 56 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 14, 63 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 21, 0 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 21, 7 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 21, 14 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 21, 21 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 21, 28 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 21, 35 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 21, 42 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 21, 49 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 21, 56 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 21, 63 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 28, 0 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 28, 7 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 28, 14 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 28, 21 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 28, 28 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 28, 35 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 28, 42 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 28, 49 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 28, 56 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 28, 63 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 35, 0 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 35, 7 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 35, 14 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 35, 21 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 35, 28 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 35, 35 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 35, 42 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 35, 49 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 35, 56 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 35, 63 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 42, 0 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 42, 7 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 42, 14 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 42, 21 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 42, 28 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 42, 35 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 42, 42 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 42, 49 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 42, 56 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 42, 63 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 49, 0 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 49, 7 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 49, 14 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 49, 21 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 49, 28 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 49, 35 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 49, 42 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 49, 49 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 49, 56 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 49, 63 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 56, 0 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 56, 7 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 56, 14 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 56, 21 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 56, 28 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 56, 35 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 56, 42 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 56, 49 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 56, 56 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 56, 63 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 63, 0 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 63, 7 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 63, 14 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 63, 21 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 63, 28 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 63, 35 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 63, 42 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 63, 49 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 63, 56 => 0000000000000000 (00000000 00000000)
rldic 0000000000000000, 63, 63 => 0000000000000000 (00000000 00000000)
rldic 0000001cbe991def, 0, 0 => 0000001cbe991def (00000000 00000000)
rldic 0000001cbe991def, 0, 7 => 0000001cbe991def (00000000 00000000)
rldic 0000001cbe991def, 0, 14 => 0000001cbe991def (00000000 00000000)
rldic 0000001cbe991def, 0, 21 => 0000001cbe991def (00000000 00000000)
rldic 0000001cbe991def, 0, 28 => 0000000cbe991def (00000000 00000000)
rldic 0000001cbe991def, 0, 35 => 000000001e991def (00000000 00000000)
rldic 0000001cbe991def, 0, 42 => 0000000000191def (00000000 00000000)
rldic 0000001cbe991def, 0, 49 => 0000000000001def (00000000 00000000)
rldic 0000001cbe991def, 0, 56 => 00000000000000ef (00000000 00000000)
rldic 0000001cbe991def, 0, 63 => 0000000000000001 (00000000 00000000)
rldic 0000001cbe991def, 7, 0 => 00000e5f4c8ef780 (00000000 00000000)
rldic 0000001cbe991def, 7, 7 => 00000e5f4c8ef780 (00000000 00000000)
rldic 0000001cbe991def, 7, 14 => 00000e5f4c8ef780 (00000000 00000000)
rldic 0000001cbe991def, 7, 21 => 0000065f4c8ef780 (00000000 00000000)
rldic 0000001cbe991def, 7, 28 => 0000000f4c8ef780 (00000000 00000000)
rldic 0000001cbe991def, 7, 35 => 000000000c8ef780 (00000000 00000000)
rldic 0000001cbe991def, 7, 42 => 00000000000ef780 (00000000 00000000)
rldic 0000001cbe991def, 7, 49 => 0000000000007780 (00000000 00000000)
rldic 0000001cbe991def, 7, 56 => 0000000000000080 (00000000 00000000)
rldic 0000001cbe991def, 7, 63 => 00000e5f4c8ef780 (00000000 00000000)
rldic 0000001cbe991def, 14, 0 => 00072fa6477bc000 (00000000 00000000)
rldic 0000001cbe991def, 14, 7 => 00072fa6477bc000 (00000000 00000000)
rldic 0000001cbe991def, 14, 14 => 00032fa6477bc000 (00000000 00000000)
rldic 0000001cbe991def, 14, 21 => 000007a6477bc000 (00000000 00000000)
rldic 0000001cbe991def, 14, 28 => 00000006477bc000 (00000000 00000000)
rldic 0000001cbe991def, 14, 35 => 00000000077bc000 (00000000 00000000)
rldic 0000001cbe991def, 14, 42 => 00000000003bc000 (00000000 00000000)
rldic 0000001cbe991def, 14, 49 => 0000000000004000 (00000000 00000000)
rldic 0000001cbe991def, 14, 56 => 00072fa6477bc000 (00000000 00000000)
rldic 0000001cbe991def, 14, 63 => 00072fa6477bc000 (00000000 00000000)
rldic 0000001cbe991def, 21, 0 => 0397d323bde00000 (00000000 00000000)
rldic 0000001cbe991def, 21, 7 => 0197d323bde00000 (00000000 00000000)
rldic 0000001cbe991def, 21, 14 => 0003d323bde00000 (00000000 00000000)
rldic 0000001cbe991def, 21, 21 => 00000323bde00000 (00000000 00000000)
rldic 0000001cbe991def, 21, 28 => 00000003bde00000 (00000000 00000000)
rldic 0000001cbe991def, 21, 35 => 000000001de00000 (00000000 00000000)
rldic 0000001cbe991def, 21, 42 => 0000000000200000 (00000000 00000000)
rldic 0000001cbe991def, 21, 49 => 0397d323bde00000 (00000000 00000000)
rldic 0000001cbe991def, 21, 56 => 0397d323bde00000 (00000000 00000000)
rldic 0000001cbe991def, 21, 63 => 0397d323bde00000 (00000000 00000000)
rldic 0000001cbe991def, 28, 0 => cbe991def0000000 (00000000 00000000)
rldic 0000001cbe991def, 28, 7 => 01e991def0000000 (00000000 00000000)
rldic 0000001cbe991def, 28, 14 => 000191def0000000 (00000000 00000000)
rldic 0000001cbe991def, 28, 21 => 000001def0000000 (00000000 00000000)
rldic 0000001cbe991def, 28, 28 => 0000000ef0000000 (00000000 00000000)
rldic 0000001cbe991def, 28, 35 => 0000000010000000 (00000000 00000000)
rldic 0000001cbe991def, 28, 42 => cbe991def0000001 (00000000 00000000)
rldic 0000001cbe991def, 28, 49 => cbe991def0000001 (00000000 00000000)
rldic 0000001cbe991def, 28, 56 => cbe991def0000001 (00000000 00000000)
rldic 0000001cbe991def, 28, 63 => cbe991def0000001 (00000000 00000000)
rldic 0000001cbe991def, 35, 0 => f4c8ef7800000000 (00000000 00000000)
rldic 0000001cbe991def, 35, 7 => 00c8ef7800000000 (00000000 00000000)
rldic 0000001cbe991def, 35, 14 => 0000ef7800000000 (00000000 00000000)
rldic 0000001cbe991def, 35, 21 => 0000077800000000 (00000000 00000000)
rldic 0000001cbe991def, 35, 28 => 0000000800000000 (00000000 00000000)
rldic 0000001cbe991def, 35, 35 => f4c8ef78000000e5 (00000000 00000000)
rldic 0000001cbe991def, 35, 42 => f4c8ef78000000e5 (00000000 00000000)
rldic 0000001cbe991def, 35, 49 => f4c8ef78000000e5 (00000000 00000000)
rldic 0000001cbe991def, 35, 56 => f4c8ef78000000e5 (00000000 00000000)
rldic 0000001cbe991def, 35, 63 => f4c8ef7800000001 (00000000 00000000)
rldic 0000001cbe991def, 42, 0 => 6477bc0000000000 (00000000 00000000)
rldic 0000001cbe991def, 42, 7 => 0077bc0000000000 (00000000 00000000)
rldic 0000001cbe991def, 42, 14 => 0003bc0000000000 (00000000 00000000)
rldic 0000001cbe991def, 42, 21 => 0000040000000000 (00000000 00000000)
rldic 0000001cbe991def, 42, 28 => 6477bc00000072fa (00000000 00000000)
rldic 0000001cbe991def, 42, 35 => 6477bc00000072fa (00000000 00000000)
rldic 0000001cbe991def, 42, 42 => 6477bc00000072fa (00000000 00000000)
rldic 0000001cbe991def, 42, 49 => 6477bc00000072fa (00000000 00000000)
rldic 0000001cbe991def, 42, 56 => 6477bc00000000fa (00000000 00000000)
rldic 0000001cbe991def, 42, 63 => 6477bc0000000000 (00000000 00000000)
rldic 0000001cbe991def, 49, 0 => 3bde000000000000 (00000000 00000000)
rldic 0000001cbe991def, 49, 7 => 01de000000000000 (00000000 00000000)
rldic 0000001cbe991def, 49, 14 => 0002000000000000 (00000000 00000000)
rldic 0000001cbe991def, 49, 21 => 3bde000000397d32 (00000000 00000000)
rldic 0000001cbe991def, 49, 28 => 3bde000000397d32 (00000000 00000000)
rldic 0000001cbe991def, 49, 35 => 3bde000000397d32 (00000000 00000000)
rldic 0000001cbe991def, 49, 42 => 3bde000000397d32 (00000000 00000000)
rldic 0000001cbe991def, 49, 49 => 3bde000000007d32 (00000000 00000000)
rldic 0000001cbe991def, 49, 56 => 3bde000000000032 (00000000 00000000)
rldic 0000001cbe991def, 49, 63 => 3bde000000000000 (00000000 00000000)
rldic 0000001cbe991def, 56, 0 => ef00000000000000 (00000000 00000000)
rldic 0000001cbe991def, 56, 7 => 0100000000000000 (00000000 00000000)
rldic 0000001cbe991def, 56, 14 => ef0000001cbe991d (00000000 00000000)
rldic 0000001cbe991def, 56, 21 => ef0000001cbe991d (00000000 00000000)
rldic 0000001cbe991def, 56, 28 => ef0000001cbe991d (00000000 00000000)
rldic 0000001cbe991def, 56, 35 => ef0000001cbe991d (00000000 00000000)
rldic 0000001cbe991def, 56, 42 => ef000000003e991d (00000000 00000000)
rldic 0000001cbe991def, 56, 49 => ef0000000000191d (00000000 00000000)
rldic 0000001cbe991def, 56, 56 => ef0000000000001d (00000000 00000000)
rldic 0000001cbe991def, 56, 63 => ef00000000000001 (00000000 00000000)
rldic 0000001cbe991def, 63, 0 => 8000000000000000 (00000000 00000000)
rldic 0000001cbe991def, 63, 7 => 8000000e5f4c8ef7 (00000000 00000000)
rldic 0000001cbe991def, 63, 14 => 8000000e5f4c8ef7 (00000000 00000000)
rldic 0000001cbe991def, 63, 21 => 8000000e5f4c8ef7 (00000000 00000000)
rldic 0000001cbe991def, 63, 28 => 8000000e5f4c8ef7 (00000000 00000000)
rldic 0000001cbe991def, 63, 35 => 800000001f4c8ef7 (00000000 00000000)
rldic 0000001cbe991def, 63, 42 => 80000000000c8ef7 (00000000 00000000)
rldic 0000001cbe991def, 63, 49 => 8000000000000ef7 (00000000 00000000)
rldic 0000001cbe991def, 63, 56 => 80000000000000f7 (00000000 00000000)
rldic 0000001cbe991def, 63, 63 => 8000000000000001 (00000000 00000000)
rldic ffffffffffffffff, 0, 0 => ffffffffffffffff (00000000 00000000)
rldic ffffffffffffffff, 0, 7 => 01ffffffffffffff (00000000 00000000)
rldic ffffffffffffffff, 0, 14 => 0003ffffffffffff (00000000 00000000)
rldic ffffffffffffffff, 0, 21 => 000007ffffffffff (00000000 00000000)
rldic ffffffffffffffff, 0, 28 => 0000000fffffffff (00000000 00000000)
rldic ffffffffffffffff, 0, 35 => 000000001fffffff (00000000 00000000)
rldic ffffffffffffffff, 0, 42 => 00000000003fffff (00000000 00000000)
rldic ffffffffffffffff, 0, 49 => 0000000000007fff (00000000 00000000)
rldic ffffffffffffffff, 0, 56 => 00000000000000ff (00000000 00000000)
rldic ffffffffffffffff, 0, 63 => 0000000000000001 (00000000 00000000)
rldic ffffffffffffffff, 7, 0 => ffffffffffffff80 (00000000 00000000)
rldic ffffffffffffffff, 7, 7 => 01ffffffffffff80 (00000000 00000000)
rldic ffffffffffffffff, 7, 14 => 0003ffffffffff80 (00000000 00000000)
rldic ffffffffffffffff, 7, 21 => 000007ffffffff80 (00000000 00000000)
rldic ffffffffffffffff, 7, 28 => 0000000fffffff80 (00000000 00000000)
rldic ffffffffffffffff, 7, 35 => 000000001fffff80 (00000000 00000000)
rldic ffffffffffffffff, 7, 42 => 00000000003fff80 (00000000 00000000)
rldic ffffffffffffffff, 7, 49 => 0000000000007f80 (00000000 00000000)
rldic ffffffffffffffff, 7, 56 => 0000000000000080 (00000000 00000000)
rldic ffffffffffffffff, 7, 63 => ffffffffffffff81 (00000000 00000000)
rldic ffffffffffffffff, 14, 0 => ffffffffffffc000 (00000000 00000000)
rldic ffffffffffffffff, 14, 7 => 01ffffffffffc000 (00000000 00000000)
rldic ffffffffffffffff, 14, 14 => 0003ffffffffc000 (00000000 00000000)
rldic ffffffffffffffff, 14, 21 => 000007ffffffc000 (00000000 00000000)
rldic ffffffffffffffff, 14, 28 => 0000000fffffc000 (00000000 00000000)
rldic ffffffffffffffff, 14, 35 => 000000001fffc000 (00000000 00000000)
rldic ffffffffffffffff, 14, 42 => 00000000003fc000 (00000000 00000000)
rldic ffffffffffffffff, 14, 49 => 0000000000004000 (00000000 00000000)
rldic ffffffffffffffff, 14, 56 => ffffffffffffc0ff (00000000 00000000)
rldic ffffffffffffffff, 14, 63 => ffffffffffffc001 (00000000 00000000)
rldic ffffffffffffffff, 21, 0 => ffffffffffe00000 (00000000 00000000)
rldic ffffffffffffffff, 21, 7 => 01ffffffffe00000 (00000000 00000000)
rldic ffffffffffffffff, 21, 14 => 0003ffffffe00000 (00000000 00000000)
rldic ffffffffffffffff, 21, 21 => 000007ffffe00000 (00000000 00000000)
rldic ffffffffffffffff, 21, 28 => 0000000fffe00000 (00000000 00000000)
rldic ffffffffffffffff, 21, 35 => 000000001fe00000 (00000000 00000000)
rldic ffffffffffffffff, 21, 42 => 0000000000200000 (00000000 00000000)
rldic ffffffffffffffff, 21, 49 => ffffffffffe07fff (00000000 00000000)
rldic ffffffffffffffff, 21, 56 => ffffffffffe000ff (00000000 00000000)
rldic ffffffffffffffff, 21, 63 => ffffffffffe00001 (00000000 00000000)
rldic ffffffffffffffff, 28, 0 => fffffffff0000000 (00000000 00000000)
rldic ffffffffffffffff, 28, 7 => 01fffffff0000000 (00000000 00000000)
rldic ffffffffffffffff, 28, 14 => 0003fffff0000000 (00000000 00000000)
rldic ffffffffffffffff, 28, 21 => 000007fff0000000 (00000000 00000000)
rldic ffffffffffffffff, 28, 28 => 0000000ff0000000 (00000000 00000000)
rldic ffffffffffffffff, 28, 35 => 0000000010000000 (00000000 00000000)
rldic ffffffffffffffff, 28, 42 => fffffffff03fffff (00000000 00000000)
rldic ffffffffffffffff, 28, 49 => fffffffff0007fff (00000000 00000000)
rldic ffffffffffffffff, 28, 56 => fffffffff00000ff (00000000 00000000)
rldic ffffffffffffffff, 28, 63 => fffffffff0000001 (00000000 00000000)
rldic ffffffffffffffff, 35, 0 => fffffff800000000 (00000000 00000000)
rldic ffffffffffffffff, 35, 7 => 01fffff800000000 (00000000 00000000)
rldic ffffffffffffffff, 35, 14 => 0003fff800000000 (00000000 00000000)
rldic ffffffffffffffff, 35, 21 => 000007f800000000 (00000000 00000000)
rldic ffffffffffffffff, 35, 28 => 0000000800000000 (00000000 00000000)
rldic ffffffffffffffff, 35, 35 => fffffff81fffffff (00000000 00000000)
rldic ffffffffffffffff, 35, 42 => fffffff8003fffff (00000000 00000000)
rldic ffffffffffffffff, 35, 49 => fffffff800007fff (00000000 00000000)
rldic ffffffffffffffff, 35, 56 => fffffff8000000ff (00000000 00000000)
rldic ffffffffffffffff, 35, 63 => fffffff800000001 (00000000 00000000)
rldic ffffffffffffffff, 42, 0 => fffffc0000000000 (00000000 00000000)
rldic ffffffffffffffff, 42, 7 => 01fffc0000000000 (00000000 00000000)
rldic ffffffffffffffff, 42, 14 => 0003fc0000000000 (00000000 00000000)
rldic ffffffffffffffff, 42, 21 => 0000040000000000 (00000000 00000000)
rldic ffffffffffffffff, 42, 28 => fffffc0fffffffff (00000000 00000000)
rldic ffffffffffffffff, 42, 35 => fffffc001fffffff (00000000 00000000)
rldic ffffffffffffffff, 42, 42 => fffffc00003fffff (00000000 00000000)
rldic ffffffffffffffff, 42, 49 => fffffc0000007fff (00000000 00000000)
rldic ffffffffffffffff, 42, 56 => fffffc00000000ff (00000000 00000000)
rldic ffffffffffffffff, 42, 63 => fffffc0000000001 (00000000 00000000)
rldic ffffffffffffffff, 49, 0 => fffe000000000000 (00000000 00000000)
rldic ffffffffffffffff, 49, 7 => 01fe000000000000 (00000000 00000000)
rldic ffffffffffffffff, 49, 14 => 0002000000000000 (00000000 00000000)
rldic ffffffffffffffff, 49, 21 => fffe07ffffffffff (00000000 00000000)
rldic ffffffffffffffff, 49, 28 => fffe000fffffffff (00000000 00000000)
rldic ffffffffffffffff, 49, 35 => fffe00001fffffff (00000000 00000000)
rldic ffffffffffffffff, 49, 42 => fffe0000003fffff (00000000 00000000)
rldic ffffffffffffffff, 49, 49 => fffe000000007fff (00000000 00000000)
rldic ffffffffffffffff, 49, 56 => fffe0000000000ff (00000000 00000000)
rldic ffffffffffffffff, 49, 63 => fffe000000000001 (00000000 00000000)
rldic ffffffffffffffff, 56, 0 => ff00000000000000 (00000000 00000000)
rldic ffffffffffffffff, 56, 7 => 0100000000000000 (00000000 00000000)
rldic ffffffffffffffff, 56, 14 => ff03ffffffffffff (00000000 00000000)
rldic ffffffffffffffff, 56, 21 => ff0007ffffffffff (00000000 00000000)
rldic ffffffffffffffff, 56, 28 => ff00000fffffffff (00000000 00000000)
rldic ffffffffffffffff, 56, 35 => ff0000001fffffff (00000000 00000000)
rldic ffffffffffffffff, 56, 42 => ff000000003fffff (00000000 00000000)
rldic ffffffffffffffff, 56, 49 => ff00000000007fff (00000000 00000000)
rldic ffffffffffffffff, 56, 56 => ff000000000000ff (00000000 00000000)
rldic ffffffffffffffff, 56, 63 => ff00000000000001 (00000000 00000000)
rldic ffffffffffffffff, 63, 0 => 8000000000000000 (00000000 00000000)
rldic ffffffffffffffff, 63, 7 => 81ffffffffffffff (00000000 00000000)
rldic ffffffffffffffff, 63, 14 => 8003ffffffffffff (00000000 00000000)
rldic ffffffffffffffff, 63, 21 => 800007ffffffffff (00000000 00000000)
rldic ffffffffffffffff, 63, 28 => 8000000fffffffff (00000000 00000000)
rldic ffffffffffffffff, 63, 35 => 800000001fffffff (00000000 00000000)
rldic ffffffffffffffff, 63, 42 => 80000000003fffff (00000000 00000000)
rldic ffffffffffffffff, 63, 49 => 8000000000007fff (00000000 00000000)
rldic ffffffffffffffff, 63, 56 => 80000000000000ff (00000000 00000000)
rldic ffffffffffffffff, 63, 63 => 8000000000000001 (00000000 00000000)
rldicl 0000000000000000, 0, 0 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 0, 7 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 0, 14 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 0, 21 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 0, 28 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 0, 35 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 0, 42 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 0, 49 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 0, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 0, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 7, 0 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 7, 7 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 7, 14 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 7, 21 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 7, 28 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 7, 35 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 7, 42 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 7, 49 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 7, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 7, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 14, 0 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 14, 7 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 14, 14 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 14, 21 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 14, 28 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 14, 35 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 14, 42 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 14, 49 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 14, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 14, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 21, 0 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 21, 7 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 21, 14 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 21, 21 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 21, 28 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 21, 35 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 21, 42 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 21, 49 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 21, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 21, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 28, 0 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 28, 7 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 28, 14 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 28, 21 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 28, 28 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 28, 35 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 28, 42 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 28, 49 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 28, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 28, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 35, 0 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 35, 7 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 35, 14 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 35, 21 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 35, 28 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 35, 35 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 35, 42 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 35, 49 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 35, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 35, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 42, 0 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 42, 7 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 42, 14 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 42, 21 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 42, 28 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 42, 35 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 42, 42 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 42, 49 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 42, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 42, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 49, 0 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 49, 7 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 49, 14 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 49, 21 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 49, 28 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 49, 35 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 49, 42 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 49, 49 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 49, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 49, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 56, 0 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 56, 7 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 56, 14 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 56, 21 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 56, 28 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 56, 35 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 56, 42 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 56, 49 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 56, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 56, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 63, 0 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 63, 7 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 63, 14 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 63, 21 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 63, 28 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 63, 35 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 63, 42 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 63, 49 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 63, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000000000000000, 63, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000001cbe991def, 0, 0 => 0000001cbe991def (00000000 00000000)
rldicl 0000001cbe991def, 0, 7 => 0000001cbe991def (00000000 00000000)
rldicl 0000001cbe991def, 0, 14 => 0000001cbe991def (00000000 00000000)
rldicl 0000001cbe991def, 0, 21 => 0000001cbe991def (00000000 00000000)
rldicl 0000001cbe991def, 0, 28 => 0000000cbe991def (00000000 00000000)
rldicl 0000001cbe991def, 0, 35 => 000000001e991def (00000000 00000000)
rldicl 0000001cbe991def, 0, 42 => 0000000000191def (00000000 00000000)
rldicl 0000001cbe991def, 0, 49 => 0000000000001def (00000000 00000000)
rldicl 0000001cbe991def, 0, 56 => 00000000000000ef (00000000 00000000)
rldicl 0000001cbe991def, 0, 63 => 0000000000000001 (00000000 00000000)
rldicl 0000001cbe991def, 7, 0 => 00000e5f4c8ef780 (00000000 00000000)
rldicl 0000001cbe991def, 7, 7 => 00000e5f4c8ef780 (00000000 00000000)
rldicl 0000001cbe991def, 7, 14 => 00000e5f4c8ef780 (00000000 00000000)
rldicl 0000001cbe991def, 7, 21 => 0000065f4c8ef780 (00000000 00000000)
rldicl 0000001cbe991def, 7, 28 => 0000000f4c8ef780 (00000000 00000000)
rldicl 0000001cbe991def, 7, 35 => 000000000c8ef780 (00000000 00000000)
rldicl 0000001cbe991def, 7, 42 => 00000000000ef780 (00000000 00000000)
rldicl 0000001cbe991def, 7, 49 => 0000000000007780 (00000000 00000000)
rldicl 0000001cbe991def, 7, 56 => 0000000000000080 (00000000 00000000)
rldicl 0000001cbe991def, 7, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000001cbe991def, 14, 0 => 00072fa6477bc000 (00000000 00000000)
rldicl 0000001cbe991def, 14, 7 => 00072fa6477bc000 (00000000 00000000)
rldicl 0000001cbe991def, 14, 14 => 00032fa6477bc000 (00000000 00000000)
rldicl 0000001cbe991def, 14, 21 => 000007a6477bc000 (00000000 00000000)
rldicl 0000001cbe991def, 14, 28 => 00000006477bc000 (00000000 00000000)
rldicl 0000001cbe991def, 14, 35 => 00000000077bc000 (00000000 00000000)
rldicl 0000001cbe991def, 14, 42 => 00000000003bc000 (00000000 00000000)
rldicl 0000001cbe991def, 14, 49 => 0000000000004000 (00000000 00000000)
rldicl 0000001cbe991def, 14, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000001cbe991def, 14, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000001cbe991def, 21, 0 => 0397d323bde00000 (00000000 00000000)
rldicl 0000001cbe991def, 21, 7 => 0197d323bde00000 (00000000 00000000)
rldicl 0000001cbe991def, 21, 14 => 0003d323bde00000 (00000000 00000000)
rldicl 0000001cbe991def, 21, 21 => 00000323bde00000 (00000000 00000000)
rldicl 0000001cbe991def, 21, 28 => 00000003bde00000 (00000000 00000000)
rldicl 0000001cbe991def, 21, 35 => 000000001de00000 (00000000 00000000)
rldicl 0000001cbe991def, 21, 42 => 0000000000200000 (00000000 00000000)
rldicl 0000001cbe991def, 21, 49 => 0000000000000000 (00000000 00000000)
rldicl 0000001cbe991def, 21, 56 => 0000000000000000 (00000000 00000000)
rldicl 0000001cbe991def, 21, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000001cbe991def, 28, 0 => cbe991def0000001 (00000000 00000000)
rldicl 0000001cbe991def, 28, 7 => 01e991def0000001 (00000000 00000000)
rldicl 0000001cbe991def, 28, 14 => 000191def0000001 (00000000 00000000)
rldicl 0000001cbe991def, 28, 21 => 000001def0000001 (00000000 00000000)
rldicl 0000001cbe991def, 28, 28 => 0000000ef0000001 (00000000 00000000)
rldicl 0000001cbe991def, 28, 35 => 0000000010000001 (00000000 00000000)
rldicl 0000001cbe991def, 28, 42 => 0000000000000001 (00000000 00000000)
rldicl 0000001cbe991def, 28, 49 => 0000000000000001 (00000000 00000000)
rldicl 0000001cbe991def, 28, 56 => 0000000000000001 (00000000 00000000)
rldicl 0000001cbe991def, 28, 63 => 0000000000000001 (00000000 00000000)
rldicl 0000001cbe991def, 35, 0 => f4c8ef78000000e5 (00000000 00000000)
rldicl 0000001cbe991def, 35, 7 => 00c8ef78000000e5 (00000000 00000000)
rldicl 0000001cbe991def, 35, 14 => 0000ef78000000e5 (00000000 00000000)
rldicl 0000001cbe991def, 35, 21 => 00000778000000e5 (00000000 00000000)
rldicl 0000001cbe991def, 35, 28 => 00000008000000e5 (00000000 00000000)
rldicl 0000001cbe991def, 35, 35 => 00000000000000e5 (00000000 00000000)
rldicl 0000001cbe991def, 35, 42 => 00000000000000e5 (00000000 00000000)
rldicl 0000001cbe991def, 35, 49 => 00000000000000e5 (00000000 00000000)
rldicl 0000001cbe991def, 35, 56 => 00000000000000e5 (00000000 00000000)
rldicl 0000001cbe991def, 35, 63 => 0000000000000001 (00000000 00000000)
rldicl 0000001cbe991def, 42, 0 => 6477bc00000072fa (00000000 00000000)
rldicl 0000001cbe991def, 42, 7 => 0077bc00000072fa (00000000 00000000)
rldicl 0000001cbe991def, 42, 14 => 0003bc00000072fa (00000000 00000000)
rldicl 0000001cbe991def, 42, 21 => 00000400000072fa (00000000 00000000)
rldicl 0000001cbe991def, 42, 28 => 00000000000072fa (00000000 00000000)
rldicl 0000001cbe991def, 42, 35 => 00000000000072fa (00000000 00000000)
rldicl 0000001cbe991def, 42, 42 => 00000000000072fa (00000000 00000000)
rldicl 0000001cbe991def, 42, 49 => 00000000000072fa (00000000 00000000)
rldicl 0000001cbe991def, 42, 56 => 00000000000000fa (00000000 00000000)
rldicl 0000001cbe991def, 42, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000001cbe991def, 49, 0 => 3bde000000397d32 (00000000 00000000)
rldicl 0000001cbe991def, 49, 7 => 01de000000397d32 (00000000 00000000)
rldicl 0000001cbe991def, 49, 14 => 0002000000397d32 (00000000 00000000)
rldicl 0000001cbe991def, 49, 21 => 0000000000397d32 (00000000 00000000)
rldicl 0000001cbe991def, 49, 28 => 0000000000397d32 (00000000 00000000)
rldicl 0000001cbe991def, 49, 35 => 0000000000397d32 (00000000 00000000)
rldicl 0000001cbe991def, 49, 42 => 0000000000397d32 (00000000 00000000)
rldicl 0000001cbe991def, 49, 49 => 0000000000007d32 (00000000 00000000)
rldicl 0000001cbe991def, 49, 56 => 0000000000000032 (00000000 00000000)
rldicl 0000001cbe991def, 49, 63 => 0000000000000000 (00000000 00000000)
rldicl 0000001cbe991def, 56, 0 => ef0000001cbe991d (00000000 00000000)
rldicl 0000001cbe991def, 56, 7 => 010000001cbe991d (00000000 00000000)
rldicl 0000001cbe991def, 56, 14 => 000000001cbe991d (00000000 00000000)
rldicl 0000001cbe991def, 56, 21 => 000000001cbe991d (00000000 00000000)
rldicl 0000001cbe991def, 56, 28 => 000000001cbe991d (00000000 00000000)
rldicl 0000001cbe991def, 56, 35 => 000000001cbe991d (00000000 00000000)
rldicl 0000001cbe991def, 56, 42 => 00000000003e991d (00000000 00000000)
rldicl 0000001cbe991def, 56, 49 => 000000000000191d (00000000 00000000)
rldicl 0000001cbe991def, 56, 56 => 000000000000001d (00000000 00000000)
rldicl 0000001cbe991def, 56, 63 => 0000000000000001 (00000000 00000000)
rldicl 0000001cbe991def, 63, 0 => 8000000e5f4c8ef7 (00000000 00000000)
rldicl 0000001cbe991def, 63, 7 => 0000000e5f4c8ef7 (00000000 00000000)
rldicl 0000001cbe991def, 63, 14 => 0000000e5f4c8ef7 (00000000 00000000)
rldicl 0000001cbe991def, 63, 21 => 0000000e5f4c8ef7 (00000000 00000000)
rldicl 0000001cbe991def, 63, 28 => 0000000e5f4c8ef7 (00000000 00000000)
rldicl 0000001cbe991def, 63, 35 => 000000001f4c8ef7 (00000000 00000000)
rldicl 0000001cbe991def, 63, 42 => 00000000000c8ef7 (00000000 00000000)
rldicl 0000001cbe991def, 63, 49 => 0000000000000ef7 (00000000 00000000)
rldicl 0000001cbe991def, 63, 56 => 00000000000000f7 (00000000 00000000)
rldicl 0000001cbe991def, 63, 63 => 0000000000000001 (00000000 00000000)
rldicl ffffffffffffffff, 0, 0 => ffffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 0, 7 => 01ffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 0, 14 => 0003ffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 0, 21 => 000007ffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 0, 28 => 0000000fffffffff (00000000 00000000)
rldicl ffffffffffffffff, 0, 35 => 000000001fffffff (00000000 00000000)
rldicl ffffffffffffffff, 0, 42 => 00000000003fffff (00000000 00000000)
rldicl ffffffffffffffff, 0, 49 => 0000000000007fff (00000000 00000000)
rldicl ffffffffffffffff, 0, 56 => 00000000000000ff (00000000 00000000)
rldicl ffffffffffffffff, 0, 63 => 0000000000000001 (00000000 00000000)
rldicl ffffffffffffffff, 7, 0 => ffffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 7, 7 => 01ffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 7, 14 => 0003ffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 7, 21 => 000007ffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 7, 28 => 0000000fffffffff (00000000 00000000)
rldicl ffffffffffffffff, 7, 35 => 000000001fffffff (00000000 00000000)
rldicl ffffffffffffffff, 7, 42 => 00000000003fffff (00000000 00000000)
rldicl ffffffffffffffff, 7, 49 => 0000000000007fff (00000000 00000000)
rldicl ffffffffffffffff, 7, 56 => 00000000000000ff (00000000 00000000)
rldicl ffffffffffffffff, 7, 63 => 0000000000000001 (00000000 00000000)
rldicl ffffffffffffffff, 14, 0 => ffffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 14, 7 => 01ffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 14, 14 => 0003ffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 14, 21 => 000007ffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 14, 28 => 0000000fffffffff (00000000 00000000)
rldicl ffffffffffffffff, 14, 35 => 000000001fffffff (00000000 00000000)
rldicl ffffffffffffffff, 14, 42 => 00000000003fffff (00000000 00000000)
rldicl ffffffffffffffff, 14, 49 => 0000000000007fff (00000000 00000000)
rldicl ffffffffffffffff, 14, 56 => 00000000000000ff (00000000 00000000)
rldicl ffffffffffffffff, 14, 63 => 0000000000000001 (00000000 00000000)
rldicl ffffffffffffffff, 21, 0 => ffffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 21, 7 => 01ffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 21, 14 => 0003ffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 21, 21 => 000007ffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 21, 28 => 0000000fffffffff (00000000 00000000)
rldicl ffffffffffffffff, 21, 35 => 000000001fffffff (00000000 00000000)
rldicl ffffffffffffffff, 21, 42 => 00000000003fffff (00000000 00000000)
rldicl ffffffffffffffff, 21, 49 => 0000000000007fff (00000000 00000000)
rldicl ffffffffffffffff, 21, 56 => 00000000000000ff (00000000 00000000)
rldicl ffffffffffffffff, 21, 63 => 0000000000000001 (00000000 00000000)
rldicl ffffffffffffffff, 28, 0 => ffffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 28, 7 => 01ffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 28, 14 => 0003ffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 28, 21 => 000007ffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 28, 28 => 0000000fffffffff (00000000 00000000)
rldicl ffffffffffffffff, 28, 35 => 000000001fffffff (00000000 00000000)
rldicl ffffffffffffffff, 28, 42 => 00000000003fffff (00000000 00000000)
rldicl ffffffffffffffff, 28, 49 => 0000000000007fff (00000000 00000000)
rldicl ffffffffffffffff, 28, 56 => 00000000000000ff (00000000 00000000)
rldicl ffffffffffffffff, 28, 63 => 0000000000000001 (00000000 00000000)
rldicl ffffffffffffffff, 35, 0 => ffffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 35, 7 => 01ffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 35, 14 => 0003ffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 35, 21 => 000007ffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 35, 28 => 0000000fffffffff (00000000 00000000)
rldicl ffffffffffffffff, 35, 35 => 000000001fffffff (00000000 00000000)
rldicl ffffffffffffffff, 35, 42 => 00000000003fffff (00000000 00000000)
rldicl ffffffffffffffff, 35, 49 => 0000000000007fff (00000000 00000000)
rldicl ffffffffffffffff, 35, 56 => 00000000000000ff (00000000 00000000)
rldicl ffffffffffffffff, 35, 63 => 0000000000000001 (00000000 00000000)
rldicl ffffffffffffffff, 42, 0 => ffffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 42, 7 => 01ffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 42, 14 => 0003ffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 42, 21 => 000007ffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 42, 28 => 0000000fffffffff (00000000 00000000)
rldicl ffffffffffffffff, 42, 35 => 000000001fffffff (00000000 00000000)
rldicl ffffffffffffffff, 42, 42 => 00000000003fffff (00000000 00000000)
rldicl ffffffffffffffff, 42, 49 => 0000000000007fff (00000000 00000000)
rldicl ffffffffffffffff, 42, 56 => 00000000000000ff (00000000 00000000)
rldicl ffffffffffffffff, 42, 63 => 0000000000000001 (00000000 00000000)
rldicl ffffffffffffffff, 49, 0 => ffffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 49, 7 => 01ffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 49, 14 => 0003ffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 49, 21 => 000007ffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 49, 28 => 0000000fffffffff (00000000 00000000)
rldicl ffffffffffffffff, 49, 35 => 000000001fffffff (00000000 00000000)
rldicl ffffffffffffffff, 49, 42 => 00000000003fffff (00000000 00000000)
rldicl ffffffffffffffff, 49, 49 => 0000000000007fff (00000000 00000000)
rldicl ffffffffffffffff, 49, 56 => 00000000000000ff (00000000 00000000)
rldicl ffffffffffffffff, 49, 63 => 0000000000000001 (00000000 00000000)
rldicl ffffffffffffffff, 56, 0 => ffffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 56, 7 => 01ffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 56, 14 => 0003ffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 56, 21 => 000007ffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 56, 28 => 0000000fffffffff (00000000 00000000)
rldicl ffffffffffffffff, 56, 35 => 000000001fffffff (00000000 00000000)
rldicl ffffffffffffffff, 56, 42 => 00000000003fffff (00000000 00000000)
rldicl ffffffffffffffff, 56, 49 => 0000000000007fff (00000000 00000000)
rldicl ffffffffffffffff, 56, 56 => 00000000000000ff (00000000 00000000)
rldicl ffffffffffffffff, 56, 63 => 0000000000000001 (00000000 00000000)
rldicl ffffffffffffffff, 63, 0 => ffffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 63, 7 => 01ffffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 63, 14 => 0003ffffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 63, 21 => 000007ffffffffff (00000000 00000000)
rldicl ffffffffffffffff, 63, 28 => 0000000fffffffff (00000000 00000000)
rldicl ffffffffffffffff, 63, 35 => 000000001fffffff (00000000 00000000)
rldicl ffffffffffffffff, 63, 42 => 00000000003fffff (00000000 00000000)
rldicl ffffffffffffffff, 63, 49 => 0000000000007fff (00000000 00000000)
rldicl ffffffffffffffff, 63, 56 => 00000000000000ff (00000000 00000000)
rldicl ffffffffffffffff, 63, 63 => 0000000000000001 (00000000 00000000)
rldicr 0000000000000000, 0, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 0, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 0, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 0, 21 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 0, 28 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 0, 35 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 0, 42 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 0, 49 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 0, 56 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 0, 63 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 7, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 7, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 7, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 7, 21 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 7, 28 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 7, 35 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 7, 42 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 7, 49 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 7, 56 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 7, 63 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 14, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 14, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 14, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 14, 21 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 14, 28 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 14, 35 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 14, 42 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 14, 49 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 14, 56 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 14, 63 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 21, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 21, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 21, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 21, 21 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 21, 28 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 21, 35 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 21, 42 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 21, 49 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 21, 56 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 21, 63 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 28, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 28, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 28, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 28, 21 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 28, 28 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 28, 35 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 28, 42 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 28, 49 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 28, 56 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 28, 63 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 35, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 35, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 35, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 35, 21 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 35, 28 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 35, 35 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 35, 42 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 35, 49 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 35, 56 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 35, 63 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 42, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 42, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 42, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 42, 21 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 42, 28 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 42, 35 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 42, 42 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 42, 49 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 42, 56 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 42, 63 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 49, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 49, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 49, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 49, 21 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 49, 28 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 49, 35 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 49, 42 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 49, 49 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 49, 56 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 49, 63 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 56, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 56, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 56, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 56, 21 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 56, 28 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 56, 35 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 56, 42 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 56, 49 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 56, 56 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 56, 63 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 63, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 63, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 63, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 63, 21 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 63, 28 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 63, 35 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 63, 42 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 63, 49 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 63, 56 => 0000000000000000 (00000000 00000000)
rldicr 0000000000000000, 63, 63 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 0, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 0, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 0, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 0, 21 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 0, 28 => 0000001800000000 (00000000 00000000)
rldicr 0000001cbe991def, 0, 35 => 0000001cb0000000 (00000000 00000000)
rldicr 0000001cbe991def, 0, 42 => 0000001cbe800000 (00000000 00000000)
rldicr 0000001cbe991def, 0, 49 => 0000001cbe990000 (00000000 00000000)
rldicr 0000001cbe991def, 0, 56 => 0000001cbe991d80 (00000000 00000000)
rldicr 0000001cbe991def, 0, 63 => 0000001cbe991def (00000000 00000000)
rldicr 0000001cbe991def, 7, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 7, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 7, 14 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 7, 21 => 00000c0000000000 (00000000 00000000)
rldicr 0000001cbe991def, 7, 28 => 00000e5800000000 (00000000 00000000)
rldicr 0000001cbe991def, 7, 35 => 00000e5f40000000 (00000000 00000000)
rldicr 0000001cbe991def, 7, 42 => 00000e5f4c800000 (00000000 00000000)
rldicr 0000001cbe991def, 7, 49 => 00000e5f4c8ec000 (00000000 00000000)
rldicr 0000001cbe991def, 7, 56 => 00000e5f4c8ef780 (00000000 00000000)
rldicr 0000001cbe991def, 7, 63 => 00000e5f4c8ef780 (00000000 00000000)
rldicr 0000001cbe991def, 14, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 14, 7 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 14, 14 => 0006000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 14, 21 => 00072c0000000000 (00000000 00000000)
rldicr 0000001cbe991def, 14, 28 => 00072fa000000000 (00000000 00000000)
rldicr 0000001cbe991def, 14, 35 => 00072fa640000000 (00000000 00000000)
rldicr 0000001cbe991def, 14, 42 => 00072fa647600000 (00000000 00000000)
rldicr 0000001cbe991def, 14, 49 => 00072fa6477bc000 (00000000 00000000)
rldicr 0000001cbe991def, 14, 56 => 00072fa6477bc000 (00000000 00000000)
rldicr 0000001cbe991def, 14, 63 => 00072fa6477bc000 (00000000 00000000)
rldicr 0000001cbe991def, 21, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 21, 7 => 0300000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 21, 14 => 0396000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 21, 21 => 0397d00000000000 (00000000 00000000)
rldicr 0000001cbe991def, 21, 28 => 0397d32000000000 (00000000 00000000)
rldicr 0000001cbe991def, 21, 35 => 0397d323b0000000 (00000000 00000000)
rldicr 0000001cbe991def, 21, 42 => 0397d323bde00000 (00000000 00000000)
rldicr 0000001cbe991def, 21, 49 => 0397d323bde00000 (00000000 00000000)
rldicr 0000001cbe991def, 21, 56 => 0397d323bde00000 (00000000 00000000)
rldicr 0000001cbe991def, 21, 63 => 0397d323bde00000 (00000000 00000000)
rldicr 0000001cbe991def, 28, 0 => 8000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 28, 7 => cb00000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 28, 14 => cbe8000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 28, 21 => cbe9900000000000 (00000000 00000000)
rldicr 0000001cbe991def, 28, 28 => cbe991d800000000 (00000000 00000000)
rldicr 0000001cbe991def, 28, 35 => cbe991def0000000 (00000000 00000000)
rldicr 0000001cbe991def, 28, 42 => cbe991def0000000 (00000000 00000000)
rldicr 0000001cbe991def, 28, 49 => cbe991def0000000 (00000000 00000000)
rldicr 0000001cbe991def, 28, 56 => cbe991def0000000 (00000000 00000000)
rldicr 0000001cbe991def, 28, 63 => cbe991def0000001 (00000000 00000000)
rldicr 0000001cbe991def, 35, 0 => 8000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 35, 7 => f400000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 35, 14 => f4c8000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 35, 21 => f4c8ec0000000000 (00000000 00000000)
rldicr 0000001cbe991def, 35, 28 => f4c8ef7800000000 (00000000 00000000)
rldicr 0000001cbe991def, 35, 35 => f4c8ef7800000000 (00000000 00000000)
rldicr 0000001cbe991def, 35, 42 => f4c8ef7800000000 (00000000 00000000)
rldicr 0000001cbe991def, 35, 49 => f4c8ef7800000000 (00000000 00000000)
rldicr 0000001cbe991def, 35, 56 => f4c8ef7800000080 (00000000 00000000)
rldicr 0000001cbe991def, 35, 63 => f4c8ef78000000e5 (00000000 00000000)
rldicr 0000001cbe991def, 42, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 42, 7 => 6400000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 42, 14 => 6476000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 42, 21 => 6477bc0000000000 (00000000 00000000)
rldicr 0000001cbe991def, 42, 28 => 6477bc0000000000 (00000000 00000000)
rldicr 0000001cbe991def, 42, 35 => 6477bc0000000000 (00000000 00000000)
rldicr 0000001cbe991def, 42, 42 => 6477bc0000000000 (00000000 00000000)
rldicr 0000001cbe991def, 42, 49 => 6477bc0000004000 (00000000 00000000)
rldicr 0000001cbe991def, 42, 56 => 6477bc0000007280 (00000000 00000000)
rldicr 0000001cbe991def, 42, 63 => 6477bc00000072fa (00000000 00000000)
rldicr 0000001cbe991def, 49, 0 => 0000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 49, 7 => 3b00000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 49, 14 => 3bde000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 49, 21 => 3bde000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 49, 28 => 3bde000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 49, 35 => 3bde000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 49, 42 => 3bde000000200000 (00000000 00000000)
rldicr 0000001cbe991def, 49, 49 => 3bde000000394000 (00000000 00000000)
rldicr 0000001cbe991def, 49, 56 => 3bde000000397d00 (00000000 00000000)
rldicr 0000001cbe991def, 49, 63 => 3bde000000397d32 (00000000 00000000)
rldicr 0000001cbe991def, 56, 0 => 8000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 56, 7 => ef00000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 56, 14 => ef00000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 56, 21 => ef00000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 56, 28 => ef00000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 56, 35 => ef00000010000000 (00000000 00000000)
rldicr 0000001cbe991def, 56, 42 => ef0000001ca00000 (00000000 00000000)
rldicr 0000001cbe991def, 56, 49 => ef0000001cbe8000 (00000000 00000000)
rldicr 0000001cbe991def, 56, 56 => ef0000001cbe9900 (00000000 00000000)
rldicr 0000001cbe991def, 56, 63 => ef0000001cbe991d (00000000 00000000)
rldicr 0000001cbe991def, 63, 0 => 8000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 63, 7 => 8000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 63, 14 => 8000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 63, 21 => 8000000000000000 (00000000 00000000)
rldicr 0000001cbe991def, 63, 28 => 8000000800000000 (00000000 00000000)
rldicr 0000001cbe991def, 63, 35 => 8000000e50000000 (00000000 00000000)
rldicr 0000001cbe991def, 63, 42 => 8000000e5f400000 (00000000 00000000)
rldicr 0000001cbe991def, 63, 49 => 8000000e5f4c8000 (00000000 00000000)
rldicr 0000001cbe991def, 63, 56 => 8000000e5f4c8e80 (00000000 00000000)
rldicr 0000001cbe991def, 63, 63 => 8000000e5f4c8ef7 (00000000 00000000)
rldicr ffffffffffffffff, 0, 0 => 8000000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 0, 7 => ff00000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 0, 14 => fffe000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 0, 21 => fffffc0000000000 (00000000 00000000)
rldicr ffffffffffffffff, 0, 28 => fffffff800000000 (00000000 00000000)
rldicr ffffffffffffffff, 0, 35 => fffffffff0000000 (00000000 00000000)
rldicr ffffffffffffffff, 0, 42 => ffffffffffe00000 (00000000 00000000)
rldicr ffffffffffffffff, 0, 49 => ffffffffffffc000 (00000000 00000000)
rldicr ffffffffffffffff, 0, 56 => ffffffffffffff80 (00000000 00000000)
rldicr ffffffffffffffff, 0, 63 => ffffffffffffffff (00000000 00000000)
rldicr ffffffffffffffff, 7, 0 => 8000000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 7, 7 => ff00000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 7, 14 => fffe000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 7, 21 => fffffc0000000000 (00000000 00000000)
rldicr ffffffffffffffff, 7, 28 => fffffff800000000 (00000000 00000000)
rldicr ffffffffffffffff, 7, 35 => fffffffff0000000 (00000000 00000000)
rldicr ffffffffffffffff, 7, 42 => ffffffffffe00000 (00000000 00000000)
rldicr ffffffffffffffff, 7, 49 => ffffffffffffc000 (00000000 00000000)
rldicr ffffffffffffffff, 7, 56 => ffffffffffffff80 (00000000 00000000)
rldicr ffffffffffffffff, 7, 63 => ffffffffffffffff (00000000 00000000)
rldicr ffffffffffffffff, 14, 0 => 8000000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 14, 7 => ff00000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 14, 14 => fffe000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 14, 21 => fffffc0000000000 (00000000 00000000)
rldicr ffffffffffffffff, 14, 28 => fffffff800000000 (00000000 00000000)
rldicr ffffffffffffffff, 14, 35 => fffffffff0000000 (00000000 00000000)
rldicr ffffffffffffffff, 14, 42 => ffffffffffe00000 (00000000 00000000)
rldicr ffffffffffffffff, 14, 49 => ffffffffffffc000 (00000000 00000000)
rldicr ffffffffffffffff, 14, 56 => ffffffffffffff80 (00000000 00000000)
rldicr ffffffffffffffff, 14, 63 => ffffffffffffffff (00000000 00000000)
rldicr ffffffffffffffff, 21, 0 => 8000000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 21, 7 => ff00000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 21, 14 => fffe000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 21, 21 => fffffc0000000000 (00000000 00000000)
rldicr ffffffffffffffff, 21, 28 => fffffff800000000 (00000000 00000000)
rldicr ffffffffffffffff, 21, 35 => fffffffff0000000 (00000000 00000000)
rldicr ffffffffffffffff, 21, 42 => ffffffffffe00000 (00000000 00000000)
rldicr ffffffffffffffff, 21, 49 => ffffffffffffc000 (00000000 00000000)
rldicr ffffffffffffffff, 21, 56 => ffffffffffffff80 (00000000 00000000)
rldicr ffffffffffffffff, 21, 63 => ffffffffffffffff (00000000 00000000)
rldicr ffffffffffffffff, 28, 0 => 8000000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 28, 7 => ff00000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 28, 14 => fffe000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 28, 21 => fffffc0000000000 (00000000 00000000)
rldicr ffffffffffffffff, 28, 28 => fffffff800000000 (00000000 00000000)
rldicr ffffffffffffffff, 28, 35 => fffffffff0000000 (00000000 00000000)
rldicr ffffffffffffffff, 28, 42 => ffffffffffe00000 (00000000 00000000)
rldicr ffffffffffffffff, 28, 49 => ffffffffffffc000 (00000000 00000000)
rldicr ffffffffffffffff, 28, 56 => ffffffffffffff80 (00000000 00000000)
rldicr ffffffffffffffff, 28, 63 => ffffffffffffffff (00000000 00000000)
rldicr ffffffffffffffff, 35, 0 => 8000000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 35, 7 => ff00000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 35, 14 => fffe000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 35, 21 => fffffc0000000000 (00000000 00000000)
rldicr ffffffffffffffff, 35, 28 => fffffff800000000 (00000000 00000000)
rldicr ffffffffffffffff, 35, 35 => fffffffff0000000 (00000000 00000000)
rldicr ffffffffffffffff, 35, 42 => ffffffffffe00000 (00000000 00000000)
rldicr ffffffffffffffff, 35, 49 => ffffffffffffc000 (00000000 00000000)
rldicr ffffffffffffffff, 35, 56 => ffffffffffffff80 (00000000 00000000)
rldicr ffffffffffffffff, 35, 63 => ffffffffffffffff (00000000 00000000)
rldicr ffffffffffffffff, 42, 0 => 8000000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 42, 7 => ff00000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 42, 14 => fffe000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 42, 21 => fffffc0000000000 (00000000 00000000)
rldicr ffffffffffffffff, 42, 28 => fffffff800000000 (00000000 00000000)
rldicr ffffffffffffffff, 42, 35 => fffffffff0000000 (00000000 00000000)
rldicr ffffffffffffffff, 42, 42 => ffffffffffe00000 (00000000 00000000)
rldicr ffffffffffffffff, 42, 49 => ffffffffffffc000 (00000000 00000000)
rldicr ffffffffffffffff, 42, 56 => ffffffffffffff80 (00000000 00000000)
rldicr ffffffffffffffff, 42, 63 => ffffffffffffffff (00000000 00000000)
rldicr ffffffffffffffff, 49, 0 => 8000000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 49, 7 => ff00000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 49, 14 => fffe000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 49, 21 => fffffc0000000000 (00000000 00000000)
rldicr ffffffffffffffff, 49, 28 => fffffff800000000 (00000000 00000000)
rldicr ffffffffffffffff, 49, 35 => fffffffff0000000 (00000000 00000000)
rldicr ffffffffffffffff, 49, 42 => ffffffffffe00000 (00000000 00000000)
rldicr ffffffffffffffff, 49, 49 => ffffffffffffc000 (00000000 00000000)
rldicr ffffffffffffffff, 49, 56 => ffffffffffffff80 (00000000 00000000)
rldicr ffffffffffffffff, 49, 63 => ffffffffffffffff (00000000 00000000)
rldicr ffffffffffffffff, 56, 0 => 8000000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 56, 7 => ff00000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 56, 14 => fffe000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 56, 21 => fffffc0000000000 (00000000 00000000)
rldicr ffffffffffffffff, 56, 28 => fffffff800000000 (00000000 00000000)
rldicr ffffffffffffffff, 56, 35 => fffffffff0000000 (00000000 00000000)
rldicr ffffffffffffffff, 56, 42 => ffffffffffe00000 (00000000 00000000)
rldicr ffffffffffffffff, 56, 49 => ffffffffffffc000 (00000000 00000000)
rldicr ffffffffffffffff, 56, 56 => ffffffffffffff80 (00000000 00000000)
rldicr ffffffffffffffff, 56, 63 => ffffffffffffffff (00000000 00000000)
rldicr ffffffffffffffff, 63, 0 => 8000000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 63, 7 => ff00000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 63, 14 => fffe000000000000 (00000000 00000000)
rldicr ffffffffffffffff, 63, 21 => fffffc0000000000 (00000000 00000000)
rldicr ffffffffffffffff, 63, 28 => fffffff800000000 (00000000 00000000)
rldicr ffffffffffffffff, 63, 35 => fffffffff0000000 (00000000 00000000)
rldicr ffffffffffffffff, 63, 42 => ffffffffffe00000 (00000000 00000000)
rldicr ffffffffffffffff, 63, 49 => ffffffffffffc000 (00000000 00000000)
rldicr ffffffffffffffff, 63, 56 => ffffffffffffff80 (00000000 00000000)
rldicr ffffffffffffffff, 63, 63 => ffffffffffffffff (00000000 00000000)
rldimi 0000000000000000, 0, 0 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 0, 7 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 0, 14 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 0, 21 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 0, 28 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 0, 35 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 0, 42 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 0, 49 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 0, 56 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 0, 63 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 7, 0 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 7, 7 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 7, 14 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 7, 21 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 7, 28 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 7, 35 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 7, 42 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 7, 49 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 7, 56 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 7, 63 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 14, 0 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 14, 7 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 14, 14 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 14, 21 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 14, 28 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 14, 35 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 14, 42 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 14, 49 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 14, 56 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 14, 63 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 21, 0 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 21, 7 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 21, 14 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 21, 21 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 21, 28 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 21, 35 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 21, 42 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 21, 49 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 21, 56 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 21, 63 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 28, 0 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 28, 7 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 28, 14 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 28, 21 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 28, 28 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 28, 35 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 28, 42 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 28, 49 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 28, 56 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 28, 63 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 35, 0 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 35, 7 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 35, 14 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 35, 21 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 35, 28 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 35, 35 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 35, 42 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 35, 49 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 35, 56 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 35, 63 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 42, 0 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 42, 7 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 42, 14 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 42, 21 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 42, 28 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 42, 35 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 42, 42 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 42, 49 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 42, 56 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 42, 63 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 49, 0 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 49, 7 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 49, 14 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 49, 21 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 49, 28 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 49, 35 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 49, 42 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 49, 49 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 49, 56 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 49, 63 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 56, 0 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 56, 7 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 56, 14 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 56, 21 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 56, 28 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 56, 35 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 56, 42 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 56, 49 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 56, 56 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 56, 63 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 63, 0 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 63, 7 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 63, 14 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 63, 21 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 63, 28 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 63, 35 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 63, 42 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 63, 49 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 63, 56 => 0000000000000000 (00000000 00000000)
rldimi 0000000000000000, 63, 63 => 0000000000000000 (00000000 00000000)
rldimi 0000001cbe991def, 0, 0 => 0000001cbe991def (00000000 00000000)
rldimi 0000001cbe991def, 0, 7 => 0000001cbe991def (00000000 00000000)
rldimi 0000001cbe991def, 0, 14 => 0000001cbe991def (00000000 00000000)
rldimi 0000001cbe991def, 0, 21 => 0000001cbe991def (00000000 00000000)
rldimi 0000001cbe991def, 0, 28 => 0000001cbe991def (00000000 00000000)
rldimi 0000001cbe991def, 0, 35 => 0000001cbe991def (00000000 00000000)
rldimi 0000001cbe991def, 0, 42 => 0000001cbe991def (00000000 00000000)
rldimi 0000001cbe991def, 0, 49 => 0000001cbe991def (00000000 00000000)
rldimi 0000001cbe991def, 0, 56 => 0000001cbe991def (00000000 00000000)
rldimi 0000001cbe991def, 0, 63 => 0000001cbe991def (00000000 00000000)
rldimi 0000001cbe991def, 7, 0 => 00000e5f4c8ef7ef (00000000 00000000)
rldimi 0000001cbe991def, 7, 7 => 00000e5f4c8ef7ef (00000000 00000000)
rldimi 0000001cbe991def, 7, 14 => 00000e5f4c8ef7ef (00000000 00000000)
rldimi 0000001cbe991def, 7, 21 => 00000e5f4c8ef7ef (00000000 00000000)
rldimi 0000001cbe991def, 7, 28 => 00000e5f4c8ef7ef (00000000 00000000)
rldimi 0000001cbe991def, 7, 35 => 00000e5f4c8ef7ef (00000000 00000000)
rldimi 0000001cbe991def, 7, 42 => 00000e5f4c8ef7ef (00000000 00000000)
rldimi 0000001cbe991def, 7, 49 => 00000e5f4c8ef7ef (00000000 00000000)
rldimi 0000001cbe991def, 7, 56 => 00000e5f4c8ef7ef (00000000 00000000)
rldimi 0000001cbe991def, 7, 63 => 00000e5f4c8ef7ee (00000000 00000000)
rldimi 0000001cbe991def, 14, 0 => 00072fa6477bf7ee (00000000 00000000)
rldimi 0000001cbe991def, 14, 7 => 00072fa6477bf7ee (00000000 00000000)
rldimi 0000001cbe991def, 14, 14 => 00072fa6477bf7ee (00000000 00000000)
rldimi 0000001cbe991def, 14, 21 => 00072fa6477bf7ee (00000000 00000000)
rldimi 0000001cbe991def, 14, 28 => 00072fa6477bf7ee (00000000 00000000)
rldimi 0000001cbe991def, 14, 35 => 00072fa6477bf7ee (00000000 00000000)
rldimi 0000001cbe991def, 14, 42 => 00072fa6477bf7ee (00000000 00000000)
rldimi 0000001cbe991def, 14, 49 => 00072fa6477bf7ee (00000000 00000000)
rldimi 0000001cbe991def, 14, 56 => 00072fa6477bf700 (00000000 00000000)
rldimi 0000001cbe991def, 14, 63 => 00072fa6477bf700 (00000000 00000000)
rldimi 0000001cbe991def, 21, 0 => 0397d323bdfbf700 (00000000 00000000)
rldimi 0000001cbe991def, 21, 7 => 0397d323bdfbf700 (00000000 00000000)
rldimi 0000001cbe991def, 21, 14 => 0397d323bdfbf700 (00000000 00000000)
rldimi 0000001cbe991def, 21, 21 => 0397d323bdfbf700 (00000000 00000000)
rldimi 0000001cbe991def, 21, 28 => 0397d323bdfbf700 (00000000 00000000)
rldimi 0000001cbe991def, 21, 35 => 0397d323bdfbf700 (00000000 00000000)
rldimi 0000001cbe991def, 21, 42 => 0397d323bdfbf700 (00000000 00000000)
rldimi 0000001cbe991def, 21, 49 => 0397d323bdfb8000 (00000000 00000000)
rldimi 0000001cbe991def, 21, 56 => 0397d323bdfb8000 (00000000 00000000)
rldimi 0000001cbe991def, 21, 63 => 0397d323bdfb8000 (00000000 00000000)
rldimi 0000001cbe991def, 28, 0 => cbe991defdfb8000 (00000000 00000000)
rldimi 0000001cbe991def, 28, 7 => cbe991defdfb8000 (00000000 00000000)
rldimi 0000001cbe991def, 28, 14 => cbe991defdfb8000 (00000000 00000000)
rldimi 0000001cbe991def, 28, 21 => cbe991defdfb8000 (00000000 00000000)
rldimi 0000001cbe991def, 28, 28 => cbe991defdfb8000 (00000000 00000000)
rldimi 0000001cbe991def, 28, 35 => cbe991defdfb8000 (00000000 00000000)
rldimi 0000001cbe991def, 28, 42 => cbe991defdc00001 (00000000 00000000)
rldimi 0000001cbe991def, 28, 49 => cbe991defdc00001 (00000000 00000000)
rldimi 0000001cbe991def, 28, 56 => cbe991defdc00001 (00000000 00000000)
rldimi 0000001cbe991def, 28, 63 => cbe991defdc00001 (00000000 00000000)
rldimi 0000001cbe991def, 35, 0 => f4c8ef7efdc00001 (00000000 00000000)
rldimi 0000001cbe991def, 35, 7 => f4c8ef7efdc00001 (00000000 00000000)
rldimi 0000001cbe991def, 35, 14 => f4c8ef7efdc00001 (00000000 00000000)
rldimi 0000001cbe991def, 35, 21 => f4c8ef7efdc00001 (00000000 00000000)
rldimi 0000001cbe991def, 35, 28 => f4c8ef7efdc00001 (00000000 00000000)
rldimi 0000001cbe991def, 35, 35 => f4c8ef7ee00000e5 (00000000 00000000)
rldimi 0000001cbe991def, 35, 42 => f4c8ef7ee00000e5 (00000000 00000000)
rldimi 0000001cbe991def, 35, 49 => f4c8ef7ee00000e5 (00000000 00000000)
rldimi 0000001cbe991def, 35, 56 => f4c8ef7ee00000e5 (00000000 00000000)
rldimi 0000001cbe991def, 35, 63 => f4c8ef7ee00000e5 (00000000 00000000)
rldimi 0000001cbe991def, 42, 0 => 6477bf7ee00000e5 (00000000 00000000)
rldimi 0000001cbe991def, 42, 7 => 6477bf7ee00000e5 (00000000 00000000)
rldimi 0000001cbe991def, 42, 14 => 6477bf7ee00000e5 (00000000 00000000)
rldimi 0000001cbe991def, 42, 21 => 6477bf7ee00000e5 (00000000 00000000)
rldimi 0000001cbe991def, 42, 28 => 6477bf70000072fa (00000000 00000000)
rldimi 0000001cbe991def, 42, 35 => 6477bf70000072fa (00000000 00000000)
rldimi 0000001cbe991def, 42, 42 => 6477bf70000072fa (00000000 00000000)
rldimi 0000001cbe991def, 42, 49 => 6477bf70000072fa (00000000 00000000)
rldimi 0000001cbe991def, 42, 56 => 6477bf70000072fa (00000000 00000000)
rldimi 0000001cbe991def, 42, 63 => 6477bf70000072fa (00000000 00000000)
rldimi 0000001cbe991def, 49, 0 => 3bdfbf70000072fa (00000000 00000000)
rldimi 0000001cbe991def, 49, 7 => 3bdfbf70000072fa (00000000 00000000)
rldimi 0000001cbe991def, 49, 14 => 3bdfbf70000072fa (00000000 00000000)
rldimi 0000001cbe991def, 49, 21 => 3bdfb80000397d32 (00000000 00000000)
rldimi 0000001cbe991def, 49, 28 => 3bdfb80000397d32 (00000000 00000000)
rldimi 0000001cbe991def, 49, 35 => 3bdfb80000397d32 (00000000 00000000)
rldimi 0000001cbe991def, 49, 42 => 3bdfb80000397d32 (00000000 00000000)
rldimi 0000001cbe991def, 49, 49 => 3bdfb80000397d32 (00000000 00000000)
rldimi 0000001cbe991def, 49, 56 => 3bdfb80000397d32 (00000000 00000000)
rldimi 0000001cbe991def, 49, 63 => 3bdfb80000397d32 (00000000 00000000)
rldimi 0000001cbe991def, 56, 0 => efdfb80000397d32 (00000000 00000000)
rldimi 0000001cbe991def, 56, 7 => efdfb80000397d32 (00000000 00000000)
rldimi 0000001cbe991def, 56, 14 => efdc00001cbe991d (00000000 00000000)
rldimi 0000001cbe991def, 56, 21 => efdc00001cbe991d (00000000 00000000)
rldimi 0000001cbe991def, 56, 28 => efdc00001cbe991d (00000000 00000000)
rldimi 0000001cbe991def, 56, 35 => efdc00001cbe991d (00000000 00000000)
rldimi 0000001cbe991def, 56, 42 => efdc00001cbe991d (00000000 00000000)
rldimi 0000001cbe991def, 56, 49 => efdc00001cbe991d (00000000 00000000)
rldimi 0000001cbe991def, 56, 56 => efdc00001cbe991d (00000000 00000000)
rldimi 0000001cbe991def, 56, 63 => efdc00001cbe991d (00000000 00000000)
rldimi 0000001cbe991def, 63, 0 => efdc00001cbe991d (00000000 00000000)
rldimi 0000001cbe991def, 63, 7 => ee00000e5f4c8ef7 (00000000 00000000)
rldimi 0000001cbe991def, 63, 14 => ee00000e5f4c8ef7 (00000000 00000000)
rldimi 0000001cbe991def, 63, 21 => ee00000e5f4c8ef7 (00000000 00000000)
rldimi 0000001cbe991def, 63, 28 => ee00000e5f4c8ef7 (00000000 00000000)
rldimi 0000001cbe991def, 63, 35 => ee00000e5f4c8ef7 (00000000 00000000)
rldimi 0000001cbe991def, 63, 42 => ee00000e5f4c8ef7 (00000000 00000000)
rldimi 0000001cbe991def, 63, 49 => ee00000e5f4c8ef7 (00000000 00000000)
rldimi 0000001cbe991def, 63, 56 => ee00000e5f4c8ef7 (00000000 00000000)
rldimi 0000001cbe991def, 63, 63 => ee00000e5f4c8ef7 (00000000 00000000)
rldimi ffffffffffffffff, 0, 0 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 0, 7 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 0, 14 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 0, 21 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 0, 28 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 0, 35 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 0, 42 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 0, 49 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 0, 56 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 0, 63 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 7, 0 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 7, 7 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 7, 14 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 7, 21 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 7, 28 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 7, 35 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 7, 42 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 7, 49 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 7, 56 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 7, 63 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 14, 0 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 14, 7 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 14, 14 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 14, 21 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 14, 28 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 14, 35 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 14, 42 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 14, 49 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 14, 56 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 14, 63 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 21, 0 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 21, 7 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 21, 14 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 21, 21 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 21, 28 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 21, 35 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 21, 42 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 21, 49 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 21, 56 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 21, 63 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 28, 0 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 28, 7 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 28, 14 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 28, 21 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 28, 28 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 28, 35 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 28, 42 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 28, 49 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 28, 56 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 28, 63 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 35, 0 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 35, 7 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 35, 14 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 35, 21 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 35, 28 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 35, 35 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 35, 42 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 35, 49 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 35, 56 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 35, 63 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 42, 0 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 42, 7 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 42, 14 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 42, 21 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 42, 28 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 42, 35 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 42, 42 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 42, 49 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 42, 56 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 42, 63 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 49, 0 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 49, 7 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 49, 14 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 49, 21 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 49, 28 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 49, 35 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 49, 42 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 49, 49 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 49, 56 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 49, 63 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 56, 0 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 56, 7 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 56, 14 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 56, 21 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 56, 28 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 56, 35 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 56, 42 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 56, 49 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 56, 56 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 56, 63 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 63, 0 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 63, 7 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 63, 14 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 63, 21 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 63, 28 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 63, 35 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 63, 42 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 63, 49 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 63, 56 => ffffffffffffffff (00000000 00000000)
rldimi ffffffffffffffff, 63, 63 => ffffffffffffffff (00000000 00000000)
sradi 0000000000000000, 0 => 0000000000000000 (00000000 00000000)
sradi 0000000000000000, 7 => 0000000000000000 (00000000 00000000)
sradi 0000000000000000, 14 => 0000000000000000 (00000000 00000000)
sradi 0000000000000000, 21 => 0000000000000000 (00000000 00000000)
sradi 0000000000000000, 28 => 0000000000000000 (00000000 00000000)
sradi 0000000000000000, 35 => 0000000000000000 (00000000 00000000)
sradi 0000000000000000, 42 => 0000000000000000 (00000000 00000000)
sradi 0000000000000000, 49 => 0000000000000000 (00000000 00000000)
sradi 0000000000000000, 56 => 0000000000000000 (00000000 00000000)
sradi 0000000000000000, 63 => 0000000000000000 (00000000 00000000)
sradi 0000001cbe991def, 0 => 0000001cbe991def (00000000 00000000)
sradi 0000001cbe991def, 7 => 00000000397d323b (00000000 00000000)
sradi 0000001cbe991def, 14 => 000000000072fa64 (00000000 00000000)
sradi 0000001cbe991def, 21 => 000000000000e5f4 (00000000 00000000)
sradi 0000001cbe991def, 28 => 00000000000001cb (00000000 00000000)
sradi 0000001cbe991def, 35 => 0000000000000003 (00000000 00000000)
sradi 0000001cbe991def, 42 => 0000000000000000 (00000000 00000000)
sradi 0000001cbe991def, 49 => 0000000000000000 (00000000 00000000)
sradi 0000001cbe991def, 56 => 0000000000000000 (00000000 00000000)
sradi 0000001cbe991def, 63 => 0000000000000000 (00000000 00000000)
sradi ffffffffffffffff, 0 => ffffffffffffffff (00000000 00000000)
sradi ffffffffffffffff, 7 => ffffffffffffffff (00000000 20000000)
sradi ffffffffffffffff, 14 => ffffffffffffffff (00000000 20000000)
sradi ffffffffffffffff, 21 => ffffffffffffffff (00000000 20000000)
sradi ffffffffffffffff, 28 => ffffffffffffffff (00000000 20000000)
sradi ffffffffffffffff, 35 => ffffffffffffffff (00000000 20000000)
sradi ffffffffffffffff, 42 => ffffffffffffffff (00000000 20000000)
sradi ffffffffffffffff, 49 => ffffffffffffffff (00000000 20000000)
sradi ffffffffffffffff, 56 => ffffffffffffffff (00000000 20000000)
sradi ffffffffffffffff, 63 => ffffffffffffffff (00000000 20000000)
PPC logical insns with special forms with flags update:
rlwimi. 0000000000000000, 0, 0, 0 => 0000000000000000 (20000000 00000000)
rlwimi. 0000000000000000, 0, 0, 31 => 0000000000000000 (20000000 00000000)
rlwimi. 0000000000000000, 0, 31, 0 => 0000000000000000 (20000000 00000000)
rlwimi. 0000000000000000, 0, 31, 31 => 0000000000000000 (20000000 00000000)
rlwimi. 0000000000000000, 31, 0, 0 => 0000000000000000 (20000000 00000000)
rlwimi. 0000000000000000, 31, 0, 31 => 0000000000000000 (20000000 00000000)
rlwimi. 0000000000000000, 31, 31, 0 => 0000000000000000 (20000000 00000000)
rlwimi. 0000000000000000, 31, 31, 31 => 0000000000000000 (20000000 00000000)
rlwimi. 0000001cbe991def, 0, 0, 0 => 0000000080000000 (40000000 00000000)
rlwimi. 0000001cbe991def, 0, 0, 31 => 00000000be991def (40000000 00000000)
rlwimi. 0000001cbe991def, 0, 31, 0 => be991defbe991def (80000000 00000000)
rlwimi. 0000001cbe991def, 0, 31, 31 => be991defbe991def (80000000 00000000)
rlwimi. 0000001cbe991def, 31, 0, 0 => be991defbe991def (80000000 00000000)
rlwimi. 0000001cbe991def, 31, 0, 31 => be991defdf4c8ef7 (80000000 00000000)
rlwimi. 0000001cbe991def, 31, 31, 0 => df4c8ef7df4c8ef7 (80000000 00000000)
rlwimi. 0000001cbe991def, 31, 31, 31 => df4c8ef7df4c8ef7 (80000000 00000000)
rlwimi. ffffffffffffffff, 0, 0, 0 => df4c8ef7df4c8ef7 (80000000 00000000)
rlwimi. ffffffffffffffff, 0, 0, 31 => df4c8ef7ffffffff (80000000 00000000)
rlwimi. ffffffffffffffff, 0, 31, 0 => ffffffffffffffff (80000000 00000000)
rlwimi. ffffffffffffffff, 0, 31, 31 => ffffffffffffffff (80000000 00000000)
rlwimi. ffffffffffffffff, 31, 0, 0 => ffffffffffffffff (80000000 00000000)
rlwimi. ffffffffffffffff, 31, 0, 31 => ffffffffffffffff (80000000 00000000)
rlwimi. ffffffffffffffff, 31, 31, 0 => ffffffffffffffff (80000000 00000000)
rlwimi. ffffffffffffffff, 31, 31, 31 => ffffffffffffffff (80000000 00000000)
rlwinm. 0000000000000000, 0, 0, 0 => 0000000000000000 (20000000 00000000)
rlwinm. 0000000000000000, 0, 0, 31 => 0000000000000000 (20000000 00000000)
rlwinm. 0000000000000000, 0, 31, 0 => 0000000000000000 (20000000 00000000)
rlwinm. 0000000000000000, 0, 31, 31 => 0000000000000000 (20000000 00000000)
rlwinm. 0000000000000000, 31, 0, 0 => 0000000000000000 (20000000 00000000)
rlwinm. 0000000000000000, 31, 0, 31 => 0000000000000000 (20000000 00000000)
rlwinm. 0000000000000000, 31, 31, 0 => 0000000000000000 (20000000 00000000)
rlwinm. 0000000000000000, 31, 31, 31 => 0000000000000000 (20000000 00000000)
rlwinm. 0000001cbe991def, 0, 0, 0 => 0000000080000000 (40000000 00000000)
rlwinm. 0000001cbe991def, 0, 0, 31 => 00000000be991def (40000000 00000000)
rlwinm. 0000001cbe991def, 0, 31, 0 => be991def80000001 (80000000 00000000)
rlwinm. 0000001cbe991def, 0, 31, 31 => 0000000000000001 (40000000 00000000)
rlwinm. 0000001cbe991def, 31, 0, 0 => 0000000080000000 (40000000 00000000)
rlwinm. 0000001cbe991def, 31, 0, 31 => 00000000df4c8ef7 (40000000 00000000)
rlwinm. 0000001cbe991def, 31, 31, 0 => df4c8ef780000001 (80000000 00000000)
rlwinm. 0000001cbe991def, 31, 31, 31 => 0000000000000001 (40000000 00000000)
rlwinm. ffffffffffffffff, 0, 0, 0 => 0000000080000000 (40000000 00000000)
rlwinm. ffffffffffffffff, 0, 0, 31 => 00000000ffffffff (40000000 00000000)
rlwinm. ffffffffffffffff, 0, 31, 0 => ffffffff80000001 (80000000 00000000)
rlwinm. ffffffffffffffff, 0, 31, 31 => 0000000000000001 (40000000 00000000)
rlwinm. ffffffffffffffff, 31, 0, 0 => 0000000080000000 (40000000 00000000)
rlwinm. ffffffffffffffff, 31, 0, 31 => 00000000ffffffff (40000000 00000000)
rlwinm. ffffffffffffffff, 31, 31, 0 => ffffffff80000001 (80000000 00000000)
rlwinm. ffffffffffffffff, 31, 31, 31 => 0000000000000001 (40000000 00000000)
rlwnm. 0000000000000000, 0000000000000000, 0, 0 => 0000000000000000 (20000000 00000000)
rlwnm. 0000000000000000, 0000000000000000, 0, 31 => 0000000000000000 (20000000 00000000)
rlwnm. 0000000000000000, 0000000000000000, 31, 0 => 0000000000000000 (20000000 00000000)
rlwnm. 0000000000000000, 0000000000000000, 31, 31 => 0000000000000000 (20000000 00000000)
rlwnm. 0000000000000000, 0000001cbe991def, 0, 0 => 0000000000000000 (20000000 00000000)
rlwnm. 0000000000000000, 0000001cbe991def, 0, 31 => 0000000000000000 (20000000 00000000)
rlwnm. 0000000000000000, 0000001cbe991def, 31, 0 => 0000000000000000 (20000000 00000000)
rlwnm. 0000000000000000, 0000001cbe991def, 31, 31 => 0000000000000000 (20000000 00000000)
rlwnm. 0000000000000000, ffffffffffffffff, 0, 0 => 0000000000000000 (20000000 00000000)
rlwnm. 0000000000000000, ffffffffffffffff, 0, 31 => 0000000000000000 (20000000 00000000)
rlwnm. 0000000000000000, ffffffffffffffff, 31, 0 => 0000000000000000 (20000000 00000000)
rlwnm. 0000000000000000, ffffffffffffffff, 31, 31 => 0000000000000000 (20000000 00000000)
rlwnm. 0000001cbe991def, 0000000000000000, 0, 0 => 0000000080000000 (40000000 00000000)
rlwnm. 0000001cbe991def, 0000000000000000, 0, 31 => 00000000be991def (40000000 00000000)
rlwnm. 0000001cbe991def, 0000000000000000, 31, 0 => be991def80000001 (80000000 00000000)
rlwnm. 0000001cbe991def, 0000000000000000, 31, 31 => 0000000000000001 (40000000 00000000)
rlwnm. 0000001cbe991def, 0000001cbe991def, 0, 0 => 0000000080000000 (40000000 00000000)
rlwnm. 0000001cbe991def, 0000001cbe991def, 0, 31 => 000000008ef7df4c (40000000 00000000)
rlwnm. 0000001cbe991def, 0000001cbe991def, 31, 0 => 8ef7df4c80000000 (80000000 00000000)
rlwnm. 0000001cbe991def, 0000001cbe991def, 31, 31 => 0000000000000000 (20000000 00000000)
rlwnm. 0000001cbe991def, ffffffffffffffff, 0, 0 => 0000000080000000 (40000000 00000000)
rlwnm. 0000001cbe991def, ffffffffffffffff, 0, 31 => 00000000df4c8ef7 (40000000 00000000)
rlwnm. 0000001cbe991def, ffffffffffffffff, 31, 0 => df4c8ef780000001 (80000000 00000000)
rlwnm. 0000001cbe991def, ffffffffffffffff, 31, 31 => 0000000000000001 (40000000 00000000)
rlwnm. ffffffffffffffff, 0000000000000000, 0, 0 => 0000000080000000 (40000000 00000000)
rlwnm. ffffffffffffffff, 0000000000000000, 0, 31 => 00000000ffffffff (40000000 00000000)
rlwnm. ffffffffffffffff, 0000000000000000, 31, 0 => ffffffff80000001 (80000000 00000000)
rlwnm. ffffffffffffffff, 0000000000000000, 31, 31 => 0000000000000001 (40000000 00000000)
rlwnm. ffffffffffffffff, 0000001cbe991def, 0, 0 => 0000000080000000 (40000000 00000000)
rlwnm. ffffffffffffffff, 0000001cbe991def, 0, 31 => 00000000ffffffff (40000000 00000000)
rlwnm. ffffffffffffffff, 0000001cbe991def, 31, 0 => ffffffff80000001 (80000000 00000000)
rlwnm. ffffffffffffffff, 0000001cbe991def, 31, 31 => 0000000000000001 (40000000 00000000)
rlwnm. ffffffffffffffff, ffffffffffffffff, 0, 0 => 0000000080000000 (40000000 00000000)
rlwnm. ffffffffffffffff, ffffffffffffffff, 0, 31 => 00000000ffffffff (40000000 00000000)
rlwnm. ffffffffffffffff, ffffffffffffffff, 31, 0 => ffffffff80000001 (80000000 00000000)
rlwnm. ffffffffffffffff, ffffffffffffffff, 31, 31 => 0000000000000001 (40000000 00000000)
srawi. 0000000000000000, 0 => 0000000000000000 (20000000 00000000)
srawi. 0000000000000000, 31 => 0000000000000000 (20000000 00000000)
srawi. 0000001cbe991def, 0 => ffffffffbe991def (80000000 00000000)
srawi. 0000001cbe991def, 31 => ffffffffffffffff (80000000 20000000)
srawi. ffffffffffffffff, 0 => ffffffffffffffff (80000000 00000000)
srawi. ffffffffffffffff, 31 => ffffffffffffffff (80000000 20000000)
mcrf 0, 0 (0000000000000000) => (00000000 00000000)
mcrf 0, 7 (0000000000000000) => (00000000 00000000)
mcrf 7, 0 (0000000000000000) => (00000000 00000000)
mcrf 7, 7 (0000000000000000) => (00000000 00000000)
mcrf 0, 0 (0000001cbe991def) => (be991def 00000000)
mcrf 0, 7 (0000001cbe991def) => (fe991def 00000000)
mcrf 7, 0 (0000001cbe991def) => (be991deb 00000000)
mcrf 7, 7 (0000001cbe991def) => (be991def 00000000)
mcrf 0, 0 (ffffffffffffffff) => (ffffffff 00000000)
mcrf 0, 7 (ffffffffffffffff) => (ffffffff 00000000)
mcrf 7, 0 (ffffffffffffffff) => (ffffffff 00000000)
mcrf 7, 7 (ffffffffffffffff) => (ffffffff 00000000)
mcrxr 0 (00000000) => (00000000 00000000)
mcrxr 1 (00000000) => (00000000 00000000)
mcrxr 2 (00000000) => (00000000 00000000)
mcrxr 3 (00000000) => (00000000 00000000)
mcrxr 4 (00000000) => (00000000 00000000)
mcrxr 5 (00000000) => (00000000 00000000)
mcrxr 6 (00000000) => (00000000 00000000)
mcrxr 7 (00000000) => (00000000 00000000)
mcrxr 0 (10000000) => (00000000 00000000)
mcrxr 1 (10000000) => (00000000 00000000)
mcrxr 2 (10000000) => (00000000 00000000)
mcrxr 3 (10000000) => (00000000 00000000)
mcrxr 4 (10000000) => (00000000 00000000)
mcrxr 5 (10000000) => (00000000 00000000)
mcrxr 6 (10000000) => (00000000 00000000)
mcrxr 7 (10000000) => (00000000 00000000)
mcrxr 0 (20000000) => (20000000 00000000)
mcrxr 1 (20000000) => (02000000 00000000)
mcrxr 2 (20000000) => (00200000 00000000)
mcrxr 3 (20000000) => (00020000 00000000)
mcrxr 4 (20000000) => (00002000 00000000)
mcrxr 5 (20000000) => (00000200 00000000)
mcrxr 6 (20000000) => (00000020 00000000)
mcrxr 7 (20000000) => (00000002 00000000)
mcrxr 0 (30000000) => (20000000 00000000)
mcrxr 1 (30000000) => (02000000 00000000)
mcrxr 2 (30000000) => (00200000 00000000)
mcrxr 3 (30000000) => (00020000 00000000)
mcrxr 4 (30000000) => (00002000 00000000)
mcrxr 5 (30000000) => (00000200 00000000)
mcrxr 6 (30000000) => (00000020 00000000)
mcrxr 7 (30000000) => (00000002 00000000)
mcrxr 0 (40000000) => (40000000 00000000)
mcrxr 1 (40000000) => (04000000 00000000)
mcrxr 2 (40000000) => (00400000 00000000)
mcrxr 3 (40000000) => (00040000 00000000)
mcrxr 4 (40000000) => (00004000 00000000)
mcrxr 5 (40000000) => (00000400 00000000)
mcrxr 6 (40000000) => (00000040 00000000)
mcrxr 7 (40000000) => (00000004 00000000)
mcrxr 0 (50000000) => (40000000 00000000)
mcrxr 1 (50000000) => (04000000 00000000)
mcrxr 2 (50000000) => (00400000 00000000)
mcrxr 3 (50000000) => (00040000 00000000)
mcrxr 4 (50000000) => (00004000 00000000)
mcrxr 5 (50000000) => (00000400 00000000)
mcrxr 6 (50000000) => (00000040 00000000)
mcrxr 7 (50000000) => (00000004 00000000)
mcrxr 0 (60000000) => (60000000 00000000)
mcrxr 1 (60000000) => (06000000 00000000)
mcrxr 2 (60000000) => (00600000 00000000)
mcrxr 3 (60000000) => (00060000 00000000)
mcrxr 4 (60000000) => (00006000 00000000)
mcrxr 5 (60000000) => (00000600 00000000)
mcrxr 6 (60000000) => (00000060 00000000)
mcrxr 7 (60000000) => (00000006 00000000)
mcrxr 0 (70000000) => (60000000 00000000)
mcrxr 1 (70000000) => (06000000 00000000)
mcrxr 2 (70000000) => (00600000 00000000)
mcrxr 3 (70000000) => (00060000 00000000)
mcrxr 4 (70000000) => (00006000 00000000)
mcrxr 5 (70000000) => (00000600 00000000)
mcrxr 6 (70000000) => (00000060 00000000)
mcrxr 7 (70000000) => (00000006 00000000)
mcrxr 0 (80000000) => (80000000 00000000)
mcrxr 1 (80000000) => (08000000 00000000)
mcrxr 2 (80000000) => (00800000 00000000)
mcrxr 3 (80000000) => (00080000 00000000)
mcrxr 4 (80000000) => (00008000 00000000)
mcrxr 5 (80000000) => (00000800 00000000)
mcrxr 6 (80000000) => (00000080 00000000)
mcrxr 7 (80000000) => (00000008 00000000)
mcrxr 0 (90000000) => (80000000 00000000)
mcrxr 1 (90000000) => (08000000 00000000)
mcrxr 2 (90000000) => (00800000 00000000)
mcrxr 3 (90000000) => (00080000 00000000)
mcrxr 4 (90000000) => (00008000 00000000)
mcrxr 5 (90000000) => (00000800 00000000)
mcrxr 6 (90000000) => (00000080 00000000)
mcrxr 7 (90000000) => (00000008 00000000)
mcrxr 0 (a0000000) => (a0000000 00000000)
mcrxr 1 (a0000000) => (0a000000 00000000)
mcrxr 2 (a0000000) => (00a00000 00000000)
mcrxr 3 (a0000000) => (000a0000 00000000)
mcrxr 4 (a0000000) => (0000a000 00000000)
mcrxr 5 (a0000000) => (00000a00 00000000)
mcrxr 6 (a0000000) => (000000a0 00000000)
mcrxr 7 (a0000000) => (0000000a 00000000)
mcrxr 0 (b0000000) => (a0000000 00000000)
mcrxr 1 (b0000000) => (0a000000 00000000)
mcrxr 2 (b0000000) => (00a00000 00000000)
mcrxr 3 (b0000000) => (000a0000 00000000)
mcrxr 4 (b0000000) => (0000a000 00000000)
mcrxr 5 (b0000000) => (00000a00 00000000)
mcrxr 6 (b0000000) => (000000a0 00000000)
mcrxr 7 (b0000000) => (0000000a 00000000)
mcrxr 0 (c0000000) => (c0000000 00000000)
mcrxr 1 (c0000000) => (0c000000 00000000)
mcrxr 2 (c0000000) => (00c00000 00000000)
mcrxr 3 (c0000000) => (000c0000 00000000)
mcrxr 4 (c0000000) => (0000c000 00000000)
mcrxr 5 (c0000000) => (00000c00 00000000)
mcrxr 6 (c0000000) => (000000c0 00000000)
mcrxr 7 (c0000000) => (0000000c 00000000)
mcrxr 0 (d0000000) => (c0000000 00000000)
mcrxr 1 (d0000000) => (0c000000 00000000)
mcrxr 2 (d0000000) => (00c00000 00000000)
mcrxr 3 (d0000000) => (000c0000 00000000)
mcrxr 4 (d0000000) => (0000c000 00000000)
mcrxr 5 (d0000000) => (00000c00 00000000)
mcrxr 6 (d0000000) => (000000c0 00000000)
mcrxr 7 (d0000000) => (0000000c 00000000)
mcrxr 0 (e0000000) => (e0000000 00000000)
mcrxr 1 (e0000000) => (0e000000 00000000)
mcrxr 2 (e0000000) => (00e00000 00000000)
mcrxr 3 (e0000000) => (000e0000 00000000)
mcrxr 4 (e0000000) => (0000e000 00000000)
mcrxr 5 (e0000000) => (00000e00 00000000)
mcrxr 6 (e0000000) => (000000e0 00000000)
mcrxr 7 (e0000000) => (0000000e 00000000)
mcrxr 0 (f0000000) => (e0000000 00000000)
mcrxr 1 (f0000000) => (0e000000 00000000)
mcrxr 2 (f0000000) => (00e00000 00000000)
mcrxr 3 (f0000000) => (000e0000 00000000)
mcrxr 4 (f0000000) => (0000e000 00000000)
mcrxr 5 (f0000000) => (00000e00 00000000)
mcrxr 6 (f0000000) => (000000e0 00000000)
mcrxr 7 (f0000000) => (0000000e 00000000)
mtcrf 0, 0000000000000000 => (00000000 00000000)
mtcrf 99, 0000000000000000 => (00000000 00000000)
mtcrf 198, 0000000000000000 => (00000000 00000000)
mtcrf 0, 0000001cbe991def => (00000000 00000000)
mtcrf 99, 0000001cbe991def => (0e9000ef 00000000)
mtcrf 198, 0000001cbe991def => (be000de0 00000000)
mtcrf 0, ffffffffffffffff => (00000000 00000000)
mtcrf 99, ffffffffffffffff => (0ff000ff 00000000)
mtcrf 198, ffffffffffffffff => (ff000ff0 00000000)
rldcl. 0000000000000000, 0000000000000000, 0 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000000000000000, 7 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000000000000000, 14 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000000000000000, 21 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000000000000000, 28 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000000000000000, 35 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000000000000000, 42 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000000000000000, 49 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000000000000000, 56 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000000000000000, 63 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000001cbe991def, 0 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000001cbe991def, 7 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000001cbe991def, 14 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000001cbe991def, 21 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000001cbe991def, 28 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000001cbe991def, 35 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000001cbe991def, 42 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000001cbe991def, 49 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000001cbe991def, 56 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, 0000001cbe991def, 63 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, ffffffffffffffff, 0 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, ffffffffffffffff, 7 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, ffffffffffffffff, 14 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, ffffffffffffffff, 21 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, ffffffffffffffff, 28 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, ffffffffffffffff, 35 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, ffffffffffffffff, 42 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, ffffffffffffffff, 49 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, ffffffffffffffff, 56 => 0000000000000000 (20000000 00000000)
rldcl. 0000000000000000, ffffffffffffffff, 63 => 0000000000000000 (20000000 00000000)
rldcl. 0000001cbe991def, 0000000000000000, 0 => 0000001cbe991def (40000000 00000000)
rldcl. 0000001cbe991def, 0000000000000000, 7 => 0000001cbe991def (40000000 00000000)
rldcl. 0000001cbe991def, 0000000000000000, 14 => 0000001cbe991def (40000000 00000000)
rldcl. 0000001cbe991def, 0000000000000000, 21 => 0000001cbe991def (40000000 00000000)
rldcl. 0000001cbe991def, 0000000000000000, 28 => 0000000cbe991def (40000000 00000000)
rldcl. 0000001cbe991def, 0000000000000000, 35 => 000000001e991def (40000000 00000000)
rldcl. 0000001cbe991def, 0000000000000000, 42 => 0000000000191def (40000000 00000000)
rldcl. 0000001cbe991def, 0000000000000000, 49 => 0000000000001def (40000000 00000000)
rldcl. 0000001cbe991def, 0000000000000000, 56 => 00000000000000ef (40000000 00000000)
rldcl. 0000001cbe991def, 0000000000000000, 63 => 0000000000000001 (40000000 00000000)
rldcl. 0000001cbe991def, 0000001cbe991def, 0 => 8ef78000000e5f4c (80000000 00000000)
rldcl. 0000001cbe991def, 0000001cbe991def, 7 => 00f78000000e5f4c (40000000 00000000)
rldcl. 0000001cbe991def, 0000001cbe991def, 14 => 00038000000e5f4c (40000000 00000000)
rldcl. 0000001cbe991def, 0000001cbe991def, 21 => 00000000000e5f4c (40000000 00000000)
rldcl. 0000001cbe991def, 0000001cbe991def, 28 => 00000000000e5f4c (40000000 00000000)
rldcl. 0000001cbe991def, 0000001cbe991def, 35 => 00000000000e5f4c (40000000 00000000)
rldcl. 0000001cbe991def, 0000001cbe991def, 42 => 00000000000e5f4c (40000000 00000000)
rldcl. 0000001cbe991def, 0000001cbe991def, 49 => 0000000000005f4c (40000000 00000000)
rldcl. 0000001cbe991def, 0000001cbe991def, 56 => 000000000000004c (40000000 00000000)
rldcl. 0000001cbe991def, 0000001cbe991def, 63 => 0000000000000000 (20000000 00000000)
rldcl. 0000001cbe991def, ffffffffffffffff, 0 => 8000000e5f4c8ef7 (80000000 00000000)
rldcl. 0000001cbe991def, ffffffffffffffff, 7 => 0000000e5f4c8ef7 (40000000 00000000)
rldcl. 0000001cbe991def, ffffffffffffffff, 14 => 0000000e5f4c8ef7 (40000000 00000000)
rldcl. 0000001cbe991def, ffffffffffffffff, 21 => 0000000e5f4c8ef7 (40000000 00000000)
rldcl. 0000001cbe991def, ffffffffffffffff, 28 => 0000000e5f4c8ef7 (40000000 00000000)
rldcl. 0000001cbe991def, ffffffffffffffff, 35 => 000000001f4c8ef7 (40000000 00000000)
rldcl. 0000001cbe991def, ffffffffffffffff, 42 => 00000000000c8ef7 (40000000 00000000)
rldcl. 0000001cbe991def, ffffffffffffffff, 49 => 0000000000000ef7 (40000000 00000000)
rldcl. 0000001cbe991def, ffffffffffffffff, 56 => 00000000000000f7 (40000000 00000000)
rldcl. 0000001cbe991def, ffffffffffffffff, 63 => 0000000000000001 (40000000 00000000)
rldcl. ffffffffffffffff, 0000000000000000, 0 => ffffffffffffffff (80000000 00000000)
rldcl. ffffffffffffffff, 0000000000000000, 7 => 01ffffffffffffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000000000000000, 14 => 0003ffffffffffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000000000000000, 21 => 000007ffffffffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000000000000000, 28 => 0000000fffffffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000000000000000, 35 => 000000001fffffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000000000000000, 42 => 00000000003fffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000000000000000, 49 => 0000000000007fff (40000000 00000000)
rldcl. ffffffffffffffff, 0000000000000000, 56 => 00000000000000ff (40000000 00000000)
rldcl. ffffffffffffffff, 0000000000000000, 63 => 0000000000000001 (40000000 00000000)
rldcl. ffffffffffffffff, 0000001cbe991def, 0 => ffffffffffffffff (80000000 00000000)
rldcl. ffffffffffffffff, 0000001cbe991def, 7 => 01ffffffffffffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000001cbe991def, 14 => 0003ffffffffffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000001cbe991def, 21 => 000007ffffffffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000001cbe991def, 28 => 0000000fffffffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000001cbe991def, 35 => 000000001fffffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000001cbe991def, 42 => 00000000003fffff (40000000 00000000)
rldcl. ffffffffffffffff, 0000001cbe991def, 49 => 0000000000007fff (40000000 00000000)
rldcl. ffffffffffffffff, 0000001cbe991def, 56 => 00000000000000ff (40000000 00000000)
rldcl. ffffffffffffffff, 0000001cbe991def, 63 => 0000000000000001 (40000000 00000000)
rldcl. ffffffffffffffff, ffffffffffffffff, 0 => ffffffffffffffff (80000000 00000000)
rldcl. ffffffffffffffff, ffffffffffffffff, 7 => 01ffffffffffffff (40000000 00000000)
rldcl. ffffffffffffffff, ffffffffffffffff, 14 => 0003ffffffffffff (40000000 00000000)
rldcl. ffffffffffffffff, ffffffffffffffff, 21 => 000007ffffffffff (40000000 00000000)
rldcl. ffffffffffffffff, ffffffffffffffff, 28 => 0000000fffffffff (40000000 00000000)
rldcl. ffffffffffffffff, ffffffffffffffff, 35 => 000000001fffffff (40000000 00000000)
rldcl. ffffffffffffffff, ffffffffffffffff, 42 => 00000000003fffff (40000000 00000000)
rldcl. ffffffffffffffff, ffffffffffffffff, 49 => 0000000000007fff (40000000 00000000)
rldcl. ffffffffffffffff, ffffffffffffffff, 56 => 00000000000000ff (40000000 00000000)
rldcl. ffffffffffffffff, ffffffffffffffff, 63 => 0000000000000001 (40000000 00000000)
rldcr. 0000000000000000, 0000000000000000, 0 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000000000000000, 7 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000000000000000, 14 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000000000000000, 21 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000000000000000, 28 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000000000000000, 35 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000000000000000, 42 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000000000000000, 49 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000000000000000, 56 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000000000000000, 63 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000001cbe991def, 0 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000001cbe991def, 7 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000001cbe991def, 14 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000001cbe991def, 21 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000001cbe991def, 28 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000001cbe991def, 35 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000001cbe991def, 42 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000001cbe991def, 49 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000001cbe991def, 56 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, 0000001cbe991def, 63 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, ffffffffffffffff, 0 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, ffffffffffffffff, 7 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, ffffffffffffffff, 14 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, ffffffffffffffff, 21 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, ffffffffffffffff, 28 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, ffffffffffffffff, 35 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, ffffffffffffffff, 42 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, ffffffffffffffff, 49 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, ffffffffffffffff, 56 => 0000000000000000 (20000000 00000000)
rldcr. 0000000000000000, ffffffffffffffff, 63 => 0000000000000000 (20000000 00000000)
rldcr. 0000001cbe991def, 0000000000000000, 0 => 0000000000000000 (20000000 00000000)
rldcr. 0000001cbe991def, 0000000000000000, 7 => 0000000000000000 (20000000 00000000)
rldcr. 0000001cbe991def, 0000000000000000, 14 => 0000000000000000 (20000000 00000000)
rldcr. 0000001cbe991def, 0000000000000000, 21 => 0000000000000000 (20000000 00000000)
rldcr. 0000001cbe991def, 0000000000000000, 28 => 0000001800000000 (40000000 00000000)
rldcr. 0000001cbe991def, 0000000000000000, 35 => 0000001cb0000000 (40000000 00000000)
rldcr. 0000001cbe991def, 0000000000000000, 42 => 0000001cbe800000 (40000000 00000000)
rldcr. 0000001cbe991def, 0000000000000000, 49 => 0000001cbe990000 (40000000 00000000)
rldcr. 0000001cbe991def, 0000000000000000, 56 => 0000001cbe991d80 (40000000 00000000)
rldcr. 0000001cbe991def, 0000000000000000, 63 => 0000001cbe991def (40000000 00000000)
rldcr. 0000001cbe991def, 0000001cbe991def, 0 => 8000000000000000 (80000000 00000000)
rldcr. 0000001cbe991def, 0000001cbe991def, 7 => 8e00000000000000 (80000000 00000000)
rldcr. 0000001cbe991def, 0000001cbe991def, 14 => 8ef6000000000000 (80000000 00000000)
rldcr. 0000001cbe991def, 0000001cbe991def, 21 => 8ef7800000000000 (80000000 00000000)
rldcr. 0000001cbe991def, 0000001cbe991def, 28 => 8ef7800000000000 (80000000 00000000)
rldcr. 0000001cbe991def, 0000001cbe991def, 35 => 8ef7800000000000 (80000000 00000000)
rldcr. 0000001cbe991def, 0000001cbe991def, 42 => 8ef7800000000000 (80000000 00000000)
rldcr. 0000001cbe991def, 0000001cbe991def, 49 => 8ef78000000e4000 (80000000 00000000)
rldcr. 0000001cbe991def, 0000001cbe991def, 56 => 8ef78000000e5f00 (80000000 00000000)
rldcr. 0000001cbe991def, 0000001cbe991def, 63 => 8ef78000000e5f4c (80000000 00000000)
rldcr. 0000001cbe991def, ffffffffffffffff, 0 => 8000000000000000 (80000000 00000000)
rldcr. 0000001cbe991def, ffffffffffffffff, 7 => 8000000000000000 (80000000 00000000)
rldcr. 0000001cbe991def, ffffffffffffffff, 14 => 8000000000000000 (80000000 00000000)
rldcr. 0000001cbe991def, ffffffffffffffff, 21 => 8000000000000000 (80000000 00000000)
rldcr. 0000001cbe991def, ffffffffffffffff, 28 => 8000000800000000 (80000000 00000000)
rldcr. 0000001cbe991def, ffffffffffffffff, 35 => 8000000e50000000 (80000000 00000000)
rldcr. 0000001cbe991def, ffffffffffffffff, 42 => 8000000e5f400000 (80000000 00000000)
rldcr. 0000001cbe991def, ffffffffffffffff, 49 => 8000000e5f4c8000 (80000000 00000000)
rldcr. 0000001cbe991def, ffffffffffffffff, 56 => 8000000e5f4c8e80 (80000000 00000000)
rldcr. 0000001cbe991def, ffffffffffffffff, 63 => 8000000e5f4c8ef7 (80000000 00000000)
rldcr. ffffffffffffffff, 0000000000000000, 0 => 8000000000000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000000000000000, 7 => ff00000000000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000000000000000, 14 => fffe000000000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000000000000000, 21 => fffffc0000000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000000000000000, 28 => fffffff800000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000000000000000, 35 => fffffffff0000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000000000000000, 42 => ffffffffffe00000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000000000000000, 49 => ffffffffffffc000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000000000000000, 56 => ffffffffffffff80 (80000000 00000000)
rldcr. ffffffffffffffff, 0000000000000000, 63 => ffffffffffffffff (80000000 00000000)
rldcr. ffffffffffffffff, 0000001cbe991def, 0 => 8000000000000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000001cbe991def, 7 => ff00000000000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000001cbe991def, 14 => fffe000000000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000001cbe991def, 21 => fffffc0000000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000001cbe991def, 28 => fffffff800000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000001cbe991def, 35 => fffffffff0000000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000001cbe991def, 42 => ffffffffffe00000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000001cbe991def, 49 => ffffffffffffc000 (80000000 00000000)
rldcr. ffffffffffffffff, 0000001cbe991def, 56 => ffffffffffffff80 (80000000 00000000)
rldcr. ffffffffffffffff, 0000001cbe991def, 63 => ffffffffffffffff (80000000 00000000)
rldcr. ffffffffffffffff, ffffffffffffffff, 0 => 8000000000000000 (80000000 00000000)
rldcr. ffffffffffffffff, ffffffffffffffff, 7 => ff00000000000000 (80000000 00000000)
rldcr. ffffffffffffffff, ffffffffffffffff, 14 => fffe000000000000 (80000000 00000000)
rldcr. ffffffffffffffff, ffffffffffffffff, 21 => fffffc0000000000 (80000000 00000000)
rldcr. ffffffffffffffff, ffffffffffffffff, 28 => fffffff800000000 (80000000 00000000)
rldcr. ffffffffffffffff, ffffffffffffffff, 35 => fffffffff0000000 (80000000 00000000)
rldcr. ffffffffffffffff, ffffffffffffffff, 42 => ffffffffffe00000 (80000000 00000000)
rldcr. ffffffffffffffff, ffffffffffffffff, 49 => ffffffffffffc000 (80000000 00000000)
rldcr. ffffffffffffffff, ffffffffffffffff, 56 => ffffffffffffff80 (80000000 00000000)
rldcr. ffffffffffffffff, ffffffffffffffff, 63 => ffffffffffffffff (80000000 00000000)
rldic. 0000000000000000, 0, 0 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 0, 7 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 0, 14 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 0, 21 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 0, 28 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 0, 35 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 0, 42 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 0, 49 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 0, 56 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 0, 63 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 7, 0 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 7, 7 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 7, 14 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 7, 21 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 7, 28 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 7, 35 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 7, 42 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 7, 49 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 7, 56 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 7, 63 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 14, 0 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 14, 7 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 14, 14 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 14, 21 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 14, 28 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 14, 35 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 14, 42 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 14, 49 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 14, 56 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 14, 63 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 21, 0 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 21, 7 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 21, 14 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 21, 21 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 21, 28 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 21, 35 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 21, 42 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 21, 49 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 21, 56 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 21, 63 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 28, 0 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 28, 7 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 28, 14 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 28, 21 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 28, 28 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 28, 35 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 28, 42 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 28, 49 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 28, 56 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 28, 63 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 35, 0 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 35, 7 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 35, 14 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 35, 21 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 35, 28 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 35, 35 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 35, 42 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 35, 49 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 35, 56 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 35, 63 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 42, 0 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 42, 7 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 42, 14 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 42, 21 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 42, 28 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 42, 35 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 42, 42 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 42, 49 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 42, 56 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 42, 63 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 49, 0 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 49, 7 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 49, 14 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 49, 21 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 49, 28 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 49, 35 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 49, 42 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 49, 49 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 49, 56 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 49, 63 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 56, 0 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 56, 7 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 56, 14 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 56, 21 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 56, 28 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 56, 35 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 56, 42 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 56, 49 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 56, 56 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 56, 63 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 63, 0 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 63, 7 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 63, 14 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 63, 21 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 63, 28 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 63, 35 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 63, 42 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 63, 49 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 63, 56 => 0000000000000000 (20000000 00000000)
rldic. 0000000000000000, 63, 63 => 0000000000000000 (20000000 00000000)
rldic. 0000001cbe991def, 0, 0 => 0000001cbe991def (40000000 00000000)
rldic. 0000001cbe991def, 0, 7 => 0000001cbe991def (40000000 00000000)
rldic. 0000001cbe991def, 0, 14 => 0000001cbe991def (40000000 00000000)
rldic. 0000001cbe991def, 0, 21 => 0000001cbe991def (40000000 00000000)
rldic. 0000001cbe991def, 0, 28 => 0000000cbe991def (40000000 00000000)
rldic. 0000001cbe991def, 0, 35 => 000000001e991def (40000000 00000000)
rldic. 0000001cbe991def, 0, 42 => 0000000000191def (40000000 00000000)
rldic. 0000001cbe991def, 0, 49 => 0000000000001def (40000000 00000000)
rldic. 0000001cbe991def, 0, 56 => 00000000000000ef (40000000 00000000)
rldic. 0000001cbe991def, 0, 63 => 0000000000000001 (40000000 00000000)
rldic. 0000001cbe991def, 7, 0 => 00000e5f4c8ef780 (40000000 00000000)
rldic. 0000001cbe991def, 7, 7 => 00000e5f4c8ef780 (40000000 00000000)
rldic. 0000001cbe991def, 7, 14 => 00000e5f4c8ef780 (40000000 00000000)
rldic. 0000001cbe991def, 7, 21 => 0000065f4c8ef780 (40000000 00000000)
rldic. 0000001cbe991def, 7, 28 => 0000000f4c8ef780 (40000000 00000000)
rldic. 0000001cbe991def, 7, 35 => 000000000c8ef780 (40000000 00000000)
rldic. 0000001cbe991def, 7, 42 => 00000000000ef780 (40000000 00000000)
rldic. 0000001cbe991def, 7, 49 => 0000000000007780 (40000000 00000000)
rldic. 0000001cbe991def, 7, 56 => 0000000000000080 (40000000 00000000)
rldic. 0000001cbe991def, 7, 63 => 00000e5f4c8ef780 (40000000 00000000)
rldic. 0000001cbe991def, 14, 0 => 00072fa6477bc000 (40000000 00000000)
rldic. 0000001cbe991def, 14, 7 => 00072fa6477bc000 (40000000 00000000)
rldic. 0000001cbe991def, 14, 14 => 00032fa6477bc000 (40000000 00000000)
rldic. 0000001cbe991def, 14, 21 => 000007a6477bc000 (40000000 00000000)
rldic. 0000001cbe991def, 14, 28 => 00000006477bc000 (40000000 00000000)
rldic. 0000001cbe991def, 14, 35 => 00000000077bc000 (40000000 00000000)
rldic. 0000001cbe991def, 14, 42 => 00000000003bc000 (40000000 00000000)
rldic. 0000001cbe991def, 14, 49 => 0000000000004000 (40000000 00000000)
rldic. 0000001cbe991def, 14, 56 => 00072fa6477bc000 (40000000 00000000)
rldic. 0000001cbe991def, 14, 63 => 00072fa6477bc000 (40000000 00000000)
rldic. 0000001cbe991def, 21, 0 => 0397d323bde00000 (40000000 00000000)
rldic. 0000001cbe991def, 21, 7 => 0197d323bde00000 (40000000 00000000)
rldic. 0000001cbe991def, 21, 14 => 0003d323bde00000 (40000000 00000000)
rldic. 0000001cbe991def, 21, 21 => 00000323bde00000 (40000000 00000000)
rldic. 0000001cbe991def, 21, 28 => 00000003bde00000 (40000000 00000000)
rldic. 0000001cbe991def, 21, 35 => 000000001de00000 (40000000 00000000)
rldic. 0000001cbe991def, 21, 42 => 0000000000200000 (40000000 00000000)
rldic. 0000001cbe991def, 21, 49 => 0397d323bde00000 (40000000 00000000)
rldic. 0000001cbe991def, 21, 56 => 0397d323bde00000 (40000000 00000000)
rldic. 0000001cbe991def, 21, 63 => 0397d323bde00000 (40000000 00000000)
rldic. 0000001cbe991def, 28, 0 => cbe991def0000000 (80000000 00000000)
rldic. 0000001cbe991def, 28, 7 => 01e991def0000000 (40000000 00000000)
rldic. 0000001cbe991def, 28, 14 => 000191def0000000 (40000000 00000000)
rldic. 0000001cbe991def, 28, 21 => 000001def0000000 (40000000 00000000)
rldic. 0000001cbe991def, 28, 28 => 0000000ef0000000 (40000000 00000000)
rldic. 0000001cbe991def, 28, 35 => 0000000010000000 (40000000 00000000)
rldic. 0000001cbe991def, 28, 42 => cbe991def0000001 (80000000 00000000)
rldic. 0000001cbe991def, 28, 49 => cbe991def0000001 (80000000 00000000)
rldic. 0000001cbe991def, 28, 56 => cbe991def0000001 (80000000 00000000)
rldic. 0000001cbe991def, 28, 63 => cbe991def0000001 (80000000 00000000)
rldic. 0000001cbe991def, 35, 0 => f4c8ef7800000000 (80000000 00000000)
rldic. 0000001cbe991def, 35, 7 => 00c8ef7800000000 (40000000 00000000)
rldic. 0000001cbe991def, 35, 14 => 0000ef7800000000 (40000000 00000000)
rldic. 0000001cbe991def, 35, 21 => 0000077800000000 (40000000 00000000)
rldic. 0000001cbe991def, 35, 28 => 0000000800000000 (40000000 00000000)
rldic. 0000001cbe991def, 35, 35 => f4c8ef78000000e5 (80000000 00000000)
rldic. 0000001cbe991def, 35, 42 => f4c8ef78000000e5 (80000000 00000000)
rldic. 0000001cbe991def, 35, 49 => f4c8ef78000000e5 (80000000 00000000)
rldic. 0000001cbe991def, 35, 56 => f4c8ef78000000e5 (80000000 00000000)
rldic. 0000001cbe991def, 35, 63 => f4c8ef7800000001 (80000000 00000000)
rldic. 0000001cbe991def, 42, 0 => 6477bc0000000000 (40000000 00000000)
rldic. 0000001cbe991def, 42, 7 => 0077bc0000000000 (40000000 00000000)
rldic. 0000001cbe991def, 42, 14 => 0003bc0000000000 (40000000 00000000)
rldic. 0000001cbe991def, 42, 21 => 0000040000000000 (40000000 00000000)
rldic. 0000001cbe991def, 42, 28 => 6477bc00000072fa (40000000 00000000)
rldic. 0000001cbe991def, 42, 35 => 6477bc00000072fa (40000000 00000000)
rldic. 0000001cbe991def, 42, 42 => 6477bc00000072fa (40000000 00000000)
rldic. 0000001cbe991def, 42, 49 => 6477bc00000072fa (40000000 00000000)
rldic. 0000001cbe991def, 42, 56 => 6477bc00000000fa (40000000 00000000)
rldic. 0000001cbe991def, 42, 63 => 6477bc0000000000 (40000000 00000000)
rldic. 0000001cbe991def, 49, 0 => 3bde000000000000 (40000000 00000000)
rldic. 0000001cbe991def, 49, 7 => 01de000000000000 (40000000 00000000)
rldic. 0000001cbe991def, 49, 14 => 0002000000000000 (40000000 00000000)
rldic. 0000001cbe991def, 49, 21 => 3bde000000397d32 (40000000 00000000)
rldic. 0000001cbe991def, 49, 28 => 3bde000000397d32 (40000000 00000000)
rldic. 0000001cbe991def, 49, 35 => 3bde000000397d32 (40000000 00000000)
rldic. 0000001cbe991def, 49, 42 => 3bde000000397d32 (40000000 00000000)
rldic. 0000001cbe991def, 49, 49 => 3bde000000007d32 (40000000 00000000)
rldic. 0000001cbe991def, 49, 56 => 3bde000000000032 (40000000 00000000)
rldic. 0000001cbe991def, 49, 63 => 3bde000000000000 (40000000 00000000)
rldic. 0000001cbe991def, 56, 0 => ef00000000000000 (80000000 00000000)
rldic. 0000001cbe991def, 56, 7 => 0100000000000000 (40000000 00000000)
rldic. 0000001cbe991def, 56, 14 => ef0000001cbe991d (80000000 00000000)
rldic. 0000001cbe991def, 56, 21 => ef0000001cbe991d (80000000 00000000)
rldic. 0000001cbe991def, 56, 28 => ef0000001cbe991d (80000000 00000000)
rldic. 0000001cbe991def, 56, 35 => ef0000001cbe991d (80000000 00000000)
rldic. 0000001cbe991def, 56, 42 => ef000000003e991d (80000000 00000000)
rldic. 0000001cbe991def, 56, 49 => ef0000000000191d (80000000 00000000)
rldic. 0000001cbe991def, 56, 56 => ef0000000000001d (80000000 00000000)
rldic. 0000001cbe991def, 56, 63 => ef00000000000001 (80000000 00000000)
rldic. 0000001cbe991def, 63, 0 => 8000000000000000 (80000000 00000000)
rldic. 0000001cbe991def, 63, 7 => 8000000e5f4c8ef7 (80000000 00000000)
rldic. 0000001cbe991def, 63, 14 => 8000000e5f4c8ef7 (80000000 00000000)
rldic. 0000001cbe991def, 63, 21 => 8000000e5f4c8ef7 (80000000 00000000)
rldic. 0000001cbe991def, 63, 28 => 8000000e5f4c8ef7 (80000000 00000000)
rldic. 0000001cbe991def, 63, 35 => 800000001f4c8ef7 (80000000 00000000)
rldic. 0000001cbe991def, 63, 42 => 80000000000c8ef7 (80000000 00000000)
rldic. 0000001cbe991def, 63, 49 => 8000000000000ef7 (80000000 00000000)
rldic. 0000001cbe991def, 63, 56 => 80000000000000f7 (80000000 00000000)
rldic. 0000001cbe991def, 63, 63 => 8000000000000001 (80000000 00000000)
rldic. ffffffffffffffff, 0, 0 => ffffffffffffffff (80000000 00000000)
rldic. ffffffffffffffff, 0, 7 => 01ffffffffffffff (40000000 00000000)
rldic. ffffffffffffffff, 0, 14 => 0003ffffffffffff (40000000 00000000)
rldic. ffffffffffffffff, 0, 21 => 000007ffffffffff (40000000 00000000)
rldic. ffffffffffffffff, 0, 28 => 0000000fffffffff (40000000 00000000)
rldic. ffffffffffffffff, 0, 35 => 000000001fffffff (40000000 00000000)
rldic. ffffffffffffffff, 0, 42 => 00000000003fffff (40000000 00000000)
rldic. ffffffffffffffff, 0, 49 => 0000000000007fff (40000000 00000000)
rldic. ffffffffffffffff, 0, 56 => 00000000000000ff (40000000 00000000)
rldic. ffffffffffffffff, 0, 63 => 0000000000000001 (40000000 00000000)
rldic. ffffffffffffffff, 7, 0 => ffffffffffffff80 (80000000 00000000)
rldic. ffffffffffffffff, 7, 7 => 01ffffffffffff80 (40000000 00000000)
rldic. ffffffffffffffff, 7, 14 => 0003ffffffffff80 (40000000 00000000)
rldic. ffffffffffffffff, 7, 21 => 000007ffffffff80 (40000000 00000000)
rldic. ffffffffffffffff, 7, 28 => 0000000fffffff80 (40000000 00000000)
rldic. ffffffffffffffff, 7, 35 => 000000001fffff80 (40000000 00000000)
rldic. ffffffffffffffff, 7, 42 => 00000000003fff80 (40000000 00000000)
rldic. ffffffffffffffff, 7, 49 => 0000000000007f80 (40000000 00000000)
rldic. ffffffffffffffff, 7, 56 => 0000000000000080 (40000000 00000000)
rldic. ffffffffffffffff, 7, 63 => ffffffffffffff81 (80000000 00000000)
rldic. ffffffffffffffff, 14, 0 => ffffffffffffc000 (80000000 00000000)
rldic. ffffffffffffffff, 14, 7 => 01ffffffffffc000 (40000000 00000000)
rldic. ffffffffffffffff, 14, 14 => 0003ffffffffc000 (40000000 00000000)
rldic. ffffffffffffffff, 14, 21 => 000007ffffffc000 (40000000 00000000)
rldic. ffffffffffffffff, 14, 28 => 0000000fffffc000 (40000000 00000000)
rldic. ffffffffffffffff, 14, 35 => 000000001fffc000 (40000000 00000000)
rldic. ffffffffffffffff, 14, 42 => 00000000003fc000 (40000000 00000000)
rldic. ffffffffffffffff, 14, 49 => 0000000000004000 (40000000 00000000)
rldic. ffffffffffffffff, 14, 56 => ffffffffffffc0ff (80000000 00000000)
rldic. ffffffffffffffff, 14, 63 => ffffffffffffc001 (80000000 00000000)
rldic. ffffffffffffffff, 21, 0 => ffffffffffe00000 (80000000 00000000)
rldic. ffffffffffffffff, 21, 7 => 01ffffffffe00000 (40000000 00000000)
rldic. ffffffffffffffff, 21, 14 => 0003ffffffe00000 (40000000 00000000)
rldic. ffffffffffffffff, 21, 21 => 000007ffffe00000 (40000000 00000000)
rldic. ffffffffffffffff, 21, 28 => 0000000fffe00000 (40000000 00000000)
rldic. ffffffffffffffff, 21, 35 => 000000001fe00000 (40000000 00000000)
rldic. ffffffffffffffff, 21, 42 => 0000000000200000 (40000000 00000000)
rldic. ffffffffffffffff, 21, 49 => ffffffffffe07fff (80000000 00000000)
rldic. ffffffffffffffff, 21, 56 => ffffffffffe000ff (80000000 00000000)
rldic. ffffffffffffffff, 21, 63 => ffffffffffe00001 (80000000 00000000)
rldic. ffffffffffffffff, 28, 0 => fffffffff0000000 (80000000 00000000)
rldic. ffffffffffffffff, 28, 7 => 01fffffff0000000 (40000000 00000000)
rldic. ffffffffffffffff, 28, 14 => 0003fffff0000000 (40000000 00000000)
rldic. ffffffffffffffff, 28, 21 => 000007fff0000000 (40000000 00000000)
rldic. ffffffffffffffff, 28, 28 => 0000000ff0000000 (40000000 00000000)
rldic. ffffffffffffffff, 28, 35 => 0000000010000000 (40000000 00000000)
rldic. ffffffffffffffff, 28, 42 => fffffffff03fffff (80000000 00000000)
rldic. ffffffffffffffff, 28, 49 => fffffffff0007fff (80000000 00000000)
rldic. ffffffffffffffff, 28, 56 => fffffffff00000ff (80000000 00000000)
rldic. ffffffffffffffff, 28, 63 => fffffffff0000001 (80000000 00000000)
rldic. ffffffffffffffff, 35, 0 => fffffff800000000 (80000000 00000000)
rldic. ffffffffffffffff, 35, 7 => 01fffff800000000 (40000000 00000000)
rldic. ffffffffffffffff, 35, 14 => 0003fff800000000 (40000000 00000000)
rldic. ffffffffffffffff, 35, 21 => 000007f800000000 (40000000 00000000)
rldic. ffffffffffffffff, 35, 28 => 0000000800000000 (40000000 00000000)
rldic. ffffffffffffffff, 35, 35 => fffffff81fffffff (80000000 00000000)
rldic. ffffffffffffffff, 35, 42 => fffffff8003fffff (80000000 00000000)
rldic. ffffffffffffffff, 35, 49 => fffffff800007fff (80000000 00000000)
rldic. ffffffffffffffff, 35, 56 => fffffff8000000ff (80000000 00000000)
rldic. ffffffffffffffff, 35, 63 => fffffff800000001 (80000000 00000000)
rldic. ffffffffffffffff, 42, 0 => fffffc0000000000 (80000000 00000000)
rldic. ffffffffffffffff, 42, 7 => 01fffc0000000000 (40000000 00000000)
rldic. ffffffffffffffff, 42, 14 => 0003fc0000000000 (40000000 00000000)
rldic. ffffffffffffffff, 42, 21 => 0000040000000000 (40000000 00000000)
rldic. ffffffffffffffff, 42, 28 => fffffc0fffffffff (80000000 00000000)
rldic. ffffffffffffffff, 42, 35 => fffffc001fffffff (80000000 00000000)
rldic. ffffffffffffffff, 42, 42 => fffffc00003fffff (80000000 00000000)
rldic. ffffffffffffffff, 42, 49 => fffffc0000007fff (80000000 00000000)
rldic. ffffffffffffffff, 42, 56 => fffffc00000000ff (80000000 00000000)
rldic. ffffffffffffffff, 42, 63 => fffffc0000000001 (80000000 00000000)
rldic. ffffffffffffffff, 49, 0 => fffe000000000000 (80000000 00000000)
rldic. ffffffffffffffff, 49, 7 => 01fe000000000000 (40000000 00000000)
rldic. ffffffffffffffff, 49, 14 => 0002000000000000 (40000000 00000000)
rldic. ffffffffffffffff, 49, 21 => fffe07ffffffffff (80000000 00000000)
rldic. ffffffffffffffff, 49, 28 => fffe000fffffffff (80000000 00000000)
rldic. ffffffffffffffff, 49, 35 => fffe00001fffffff (80000000 00000000)
rldic. ffffffffffffffff, 49, 42 => fffe0000003fffff (80000000 00000000)
rldic. ffffffffffffffff, 49, 49 => fffe000000007fff (80000000 00000000)
rldic. ffffffffffffffff, 49, 56 => fffe0000000000ff (80000000 00000000)
rldic. ffffffffffffffff, 49, 63 => fffe000000000001 (80000000 00000000)
rldic. ffffffffffffffff, 56, 0 => ff00000000000000 (80000000 00000000)
rldic. ffffffffffffffff, 56, 7 => 0100000000000000 (40000000 00000000)
rldic. ffffffffffffffff, 56, 14 => ff03ffffffffffff (80000000 00000000)
rldic. ffffffffffffffff, 56, 21 => ff0007ffffffffff (80000000 00000000)
rldic. ffffffffffffffff, 56, 28 => ff00000fffffffff (80000000 00000000)
rldic. ffffffffffffffff, 56, 35 => ff0000001fffffff (80000000 00000000)
rldic. ffffffffffffffff, 56, 42 => ff000000003fffff (80000000 00000000)
rldic. ffffffffffffffff, 56, 49 => ff00000000007fff (80000000 00000000)
rldic. ffffffffffffffff, 56, 56 => ff000000000000ff (80000000 00000000)
rldic. ffffffffffffffff, 56, 63 => ff00000000000001 (80000000 00000000)
rldic. ffffffffffffffff, 63, 0 => 8000000000000000 (80000000 00000000)
rldic. ffffffffffffffff, 63, 7 => 81ffffffffffffff (80000000 00000000)
rldic. ffffffffffffffff, 63, 14 => 8003ffffffffffff (80000000 00000000)
rldic. ffffffffffffffff, 63, 21 => 800007ffffffffff (80000000 00000000)
rldic. ffffffffffffffff, 63, 28 => 8000000fffffffff (80000000 00000000)
rldic. ffffffffffffffff, 63, 35 => 800000001fffffff (80000000 00000000)
rldic. ffffffffffffffff, 63, 42 => 80000000003fffff (80000000 00000000)
rldic. ffffffffffffffff, 63, 49 => 8000000000007fff (80000000 00000000)
rldic. ffffffffffffffff, 63, 56 => 80000000000000ff (80000000 00000000)
rldic. ffffffffffffffff, 63, 63 => 8000000000000001 (80000000 00000000)
rldicl. 0000000000000000, 0, 0 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 0, 7 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 0, 14 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 0, 21 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 0, 28 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 0, 35 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 0, 42 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 0, 49 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 0, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 0, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 7, 0 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 7, 7 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 7, 14 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 7, 21 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 7, 28 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 7, 35 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 7, 42 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 7, 49 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 7, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 7, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 14, 0 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 14, 7 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 14, 14 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 14, 21 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 14, 28 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 14, 35 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 14, 42 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 14, 49 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 14, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 14, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 21, 0 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 21, 7 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 21, 14 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 21, 21 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 21, 28 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 21, 35 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 21, 42 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 21, 49 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 21, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 21, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 28, 0 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 28, 7 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 28, 14 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 28, 21 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 28, 28 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 28, 35 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 28, 42 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 28, 49 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 28, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 28, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 35, 0 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 35, 7 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 35, 14 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 35, 21 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 35, 28 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 35, 35 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 35, 42 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 35, 49 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 35, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 35, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 42, 0 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 42, 7 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 42, 14 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 42, 21 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 42, 28 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 42, 35 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 42, 42 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 42, 49 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 42, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 42, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 49, 0 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 49, 7 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 49, 14 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 49, 21 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 49, 28 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 49, 35 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 49, 42 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 49, 49 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 49, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 49, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 56, 0 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 56, 7 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 56, 14 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 56, 21 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 56, 28 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 56, 35 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 56, 42 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 56, 49 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 56, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 56, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 63, 0 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 63, 7 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 63, 14 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 63, 21 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 63, 28 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 63, 35 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 63, 42 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 63, 49 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 63, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000000000000000, 63, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000001cbe991def, 0, 0 => 0000001cbe991def (40000000 00000000)
rldicl. 0000001cbe991def, 0, 7 => 0000001cbe991def (40000000 00000000)
rldicl. 0000001cbe991def, 0, 14 => 0000001cbe991def (40000000 00000000)
rldicl. 0000001cbe991def, 0, 21 => 0000001cbe991def (40000000 00000000)
rldicl. 0000001cbe991def, 0, 28 => 0000000cbe991def (40000000 00000000)
rldicl. 0000001cbe991def, 0, 35 => 000000001e991def (40000000 00000000)
rldicl. 0000001cbe991def, 0, 42 => 0000000000191def (40000000 00000000)
rldicl. 0000001cbe991def, 0, 49 => 0000000000001def (40000000 00000000)
rldicl. 0000001cbe991def, 0, 56 => 00000000000000ef (40000000 00000000)
rldicl. 0000001cbe991def, 0, 63 => 0000000000000001 (40000000 00000000)
rldicl. 0000001cbe991def, 7, 0 => 00000e5f4c8ef780 (40000000 00000000)
rldicl. 0000001cbe991def, 7, 7 => 00000e5f4c8ef780 (40000000 00000000)
rldicl. 0000001cbe991def, 7, 14 => 00000e5f4c8ef780 (40000000 00000000)
rldicl. 0000001cbe991def, 7, 21 => 0000065f4c8ef780 (40000000 00000000)
rldicl. 0000001cbe991def, 7, 28 => 0000000f4c8ef780 (40000000 00000000)
rldicl. 0000001cbe991def, 7, 35 => 000000000c8ef780 (40000000 00000000)
rldicl. 0000001cbe991def, 7, 42 => 00000000000ef780 (40000000 00000000)
rldicl. 0000001cbe991def, 7, 49 => 0000000000007780 (40000000 00000000)
rldicl. 0000001cbe991def, 7, 56 => 0000000000000080 (40000000 00000000)
rldicl. 0000001cbe991def, 7, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000001cbe991def, 14, 0 => 00072fa6477bc000 (40000000 00000000)
rldicl. 0000001cbe991def, 14, 7 => 00072fa6477bc000 (40000000 00000000)
rldicl. 0000001cbe991def, 14, 14 => 00032fa6477bc000 (40000000 00000000)
rldicl. 0000001cbe991def, 14, 21 => 000007a6477bc000 (40000000 00000000)
rldicl. 0000001cbe991def, 14, 28 => 00000006477bc000 (40000000 00000000)
rldicl. 0000001cbe991def, 14, 35 => 00000000077bc000 (40000000 00000000)
rldicl. 0000001cbe991def, 14, 42 => 00000000003bc000 (40000000 00000000)
rldicl. 0000001cbe991def, 14, 49 => 0000000000004000 (40000000 00000000)
rldicl. 0000001cbe991def, 14, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000001cbe991def, 14, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000001cbe991def, 21, 0 => 0397d323bde00000 (40000000 00000000)
rldicl. 0000001cbe991def, 21, 7 => 0197d323bde00000 (40000000 00000000)
rldicl. 0000001cbe991def, 21, 14 => 0003d323bde00000 (40000000 00000000)
rldicl. 0000001cbe991def, 21, 21 => 00000323bde00000 (40000000 00000000)
rldicl. 0000001cbe991def, 21, 28 => 00000003bde00000 (40000000 00000000)
rldicl. 0000001cbe991def, 21, 35 => 000000001de00000 (40000000 00000000)
rldicl. 0000001cbe991def, 21, 42 => 0000000000200000 (40000000 00000000)
rldicl. 0000001cbe991def, 21, 49 => 0000000000000000 (20000000 00000000)
rldicl. 0000001cbe991def, 21, 56 => 0000000000000000 (20000000 00000000)
rldicl. 0000001cbe991def, 21, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000001cbe991def, 28, 0 => cbe991def0000001 (80000000 00000000)
rldicl. 0000001cbe991def, 28, 7 => 01e991def0000001 (40000000 00000000)
rldicl. 0000001cbe991def, 28, 14 => 000191def0000001 (40000000 00000000)
rldicl. 0000001cbe991def, 28, 21 => 000001def0000001 (40000000 00000000)
rldicl. 0000001cbe991def, 28, 28 => 0000000ef0000001 (40000000 00000000)
rldicl. 0000001cbe991def, 28, 35 => 0000000010000001 (40000000 00000000)
rldicl. 0000001cbe991def, 28, 42 => 0000000000000001 (40000000 00000000)
rldicl. 0000001cbe991def, 28, 49 => 0000000000000001 (40000000 00000000)
rldicl. 0000001cbe991def, 28, 56 => 0000000000000001 (40000000 00000000)
rldicl. 0000001cbe991def, 28, 63 => 0000000000000001 (40000000 00000000)
rldicl. 0000001cbe991def, 35, 0 => f4c8ef78000000e5 (80000000 00000000)
rldicl. 0000001cbe991def, 35, 7 => 00c8ef78000000e5 (40000000 00000000)
rldicl. 0000001cbe991def, 35, 14 => 0000ef78000000e5 (40000000 00000000)
rldicl. 0000001cbe991def, 35, 21 => 00000778000000e5 (40000000 00000000)
rldicl. 0000001cbe991def, 35, 28 => 00000008000000e5 (40000000 00000000)
rldicl. 0000001cbe991def, 35, 35 => 00000000000000e5 (40000000 00000000)
rldicl. 0000001cbe991def, 35, 42 => 00000000000000e5 (40000000 00000000)
rldicl. 0000001cbe991def, 35, 49 => 00000000000000e5 (40000000 00000000)
rldicl. 0000001cbe991def, 35, 56 => 00000000000000e5 (40000000 00000000)
rldicl. 0000001cbe991def, 35, 63 => 0000000000000001 (40000000 00000000)
rldicl. 0000001cbe991def, 42, 0 => 6477bc00000072fa (40000000 00000000)
rldicl. 0000001cbe991def, 42, 7 => 0077bc00000072fa (40000000 00000000)
rldicl. 0000001cbe991def, 42, 14 => 0003bc00000072fa (40000000 00000000)
rldicl. 0000001cbe991def, 42, 21 => 00000400000072fa (40000000 00000000)
rldicl. 0000001cbe991def, 42, 28 => 00000000000072fa (40000000 00000000)
rldicl. 0000001cbe991def, 42, 35 => 00000000000072fa (40000000 00000000)
rldicl. 0000001cbe991def, 42, 42 => 00000000000072fa (40000000 00000000)
rldicl. 0000001cbe991def, 42, 49 => 00000000000072fa (40000000 00000000)
rldicl. 0000001cbe991def, 42, 56 => 00000000000000fa (40000000 00000000)
rldicl. 0000001cbe991def, 42, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000001cbe991def, 49, 0 => 3bde000000397d32 (40000000 00000000)
rldicl. 0000001cbe991def, 49, 7 => 01de000000397d32 (40000000 00000000)
rldicl. 0000001cbe991def, 49, 14 => 0002000000397d32 (40000000 00000000)
rldicl. 0000001cbe991def, 49, 21 => 0000000000397d32 (40000000 00000000)
rldicl. 0000001cbe991def, 49, 28 => 0000000000397d32 (40000000 00000000)
rldicl. 0000001cbe991def, 49, 35 => 0000000000397d32 (40000000 00000000)
rldicl. 0000001cbe991def, 49, 42 => 0000000000397d32 (40000000 00000000)
rldicl. 0000001cbe991def, 49, 49 => 0000000000007d32 (40000000 00000000)
rldicl. 0000001cbe991def, 49, 56 => 0000000000000032 (40000000 00000000)
rldicl. 0000001cbe991def, 49, 63 => 0000000000000000 (20000000 00000000)
rldicl. 0000001cbe991def, 56, 0 => ef0000001cbe991d (80000000 00000000)
rldicl. 0000001cbe991def, 56, 7 => 010000001cbe991d (40000000 00000000)
rldicl. 0000001cbe991def, 56, 14 => 000000001cbe991d (40000000 00000000)
rldicl. 0000001cbe991def, 56, 21 => 000000001cbe991d (40000000 00000000)
rldicl. 0000001cbe991def, 56, 28 => 000000001cbe991d (40000000 00000000)
rldicl. 0000001cbe991def, 56, 35 => 000000001cbe991d (40000000 00000000)
rldicl. 0000001cbe991def, 56, 42 => 00000000003e991d (40000000 00000000)
rldicl. 0000001cbe991def, 56, 49 => 000000000000191d (40000000 00000000)
rldicl. 0000001cbe991def, 56, 56 => 000000000000001d (40000000 00000000)
rldicl. 0000001cbe991def, 56, 63 => 0000000000000001 (40000000 00000000)
rldicl. 0000001cbe991def, 63, 0 => 8000000e5f4c8ef7 (80000000 00000000)
rldicl. 0000001cbe991def, 63, 7 => 0000000e5f4c8ef7 (40000000 00000000)
rldicl. 0000001cbe991def, 63, 14 => 0000000e5f4c8ef7 (40000000 00000000)
rldicl. 0000001cbe991def, 63, 21 => 0000000e5f4c8ef7 (40000000 00000000)
rldicl. 0000001cbe991def, 63, 28 => 0000000e5f4c8ef7 (40000000 00000000)
rldicl. 0000001cbe991def, 63, 35 => 000000001f4c8ef7 (40000000 00000000)
rldicl. 0000001cbe991def, 63, 42 => 00000000000c8ef7 (40000000 00000000)
rldicl. 0000001cbe991def, 63, 49 => 0000000000000ef7 (40000000 00000000)
rldicl. 0000001cbe991def, 63, 56 => 00000000000000f7 (40000000 00000000)
rldicl. 0000001cbe991def, 63, 63 => 0000000000000001 (40000000 00000000)
rldicl. ffffffffffffffff, 0, 0 => ffffffffffffffff (80000000 00000000)
rldicl. ffffffffffffffff, 0, 7 => 01ffffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 0, 14 => 0003ffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 0, 21 => 000007ffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 0, 28 => 0000000fffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 0, 35 => 000000001fffffff (40000000 00000000)
rldicl. ffffffffffffffff, 0, 42 => 00000000003fffff (40000000 00000000)
rldicl. ffffffffffffffff, 0, 49 => 0000000000007fff (40000000 00000000)
rldicl. ffffffffffffffff, 0, 56 => 00000000000000ff (40000000 00000000)
rldicl. ffffffffffffffff, 0, 63 => 0000000000000001 (40000000 00000000)
rldicl. ffffffffffffffff, 7, 0 => ffffffffffffffff (80000000 00000000)
rldicl. ffffffffffffffff, 7, 7 => 01ffffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 7, 14 => 0003ffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 7, 21 => 000007ffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 7, 28 => 0000000fffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 7, 35 => 000000001fffffff (40000000 00000000)
rldicl. ffffffffffffffff, 7, 42 => 00000000003fffff (40000000 00000000)
rldicl. ffffffffffffffff, 7, 49 => 0000000000007fff (40000000 00000000)
rldicl. ffffffffffffffff, 7, 56 => 00000000000000ff (40000000 00000000)
rldicl. ffffffffffffffff, 7, 63 => 0000000000000001 (40000000 00000000)
rldicl. ffffffffffffffff, 14, 0 => ffffffffffffffff (80000000 00000000)
rldicl. ffffffffffffffff, 14, 7 => 01ffffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 14, 14 => 0003ffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 14, 21 => 000007ffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 14, 28 => 0000000fffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 14, 35 => 000000001fffffff (40000000 00000000)
rldicl. ffffffffffffffff, 14, 42 => 00000000003fffff (40000000 00000000)
rldicl. ffffffffffffffff, 14, 49 => 0000000000007fff (40000000 00000000)
rldicl. ffffffffffffffff, 14, 56 => 00000000000000ff (40000000 00000000)
rldicl. ffffffffffffffff, 14, 63 => 0000000000000001 (40000000 00000000)
rldicl. ffffffffffffffff, 21, 0 => ffffffffffffffff (80000000 00000000)
rldicl. ffffffffffffffff, 21, 7 => 01ffffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 21, 14 => 0003ffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 21, 21 => 000007ffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 21, 28 => 0000000fffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 21, 35 => 000000001fffffff (40000000 00000000)
rldicl. ffffffffffffffff, 21, 42 => 00000000003fffff (40000000 00000000)
rldicl. ffffffffffffffff, 21, 49 => 0000000000007fff (40000000 00000000)
rldicl. ffffffffffffffff, 21, 56 => 00000000000000ff (40000000 00000000)
rldicl. ffffffffffffffff, 21, 63 => 0000000000000001 (40000000 00000000)
rldicl. ffffffffffffffff, 28, 0 => ffffffffffffffff (80000000 00000000)
rldicl. ffffffffffffffff, 28, 7 => 01ffffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 28, 14 => 0003ffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 28, 21 => 000007ffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 28, 28 => 0000000fffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 28, 35 => 000000001fffffff (40000000 00000000)
rldicl. ffffffffffffffff, 28, 42 => 00000000003fffff (40000000 00000000)
rldicl. ffffffffffffffff, 28, 49 => 0000000000007fff (40000000 00000000)
rldicl. ffffffffffffffff, 28, 56 => 00000000000000ff (40000000 00000000)
rldicl. ffffffffffffffff, 28, 63 => 0000000000000001 (40000000 00000000)
rldicl. ffffffffffffffff, 35, 0 => ffffffffffffffff (80000000 00000000)
rldicl. ffffffffffffffff, 35, 7 => 01ffffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 35, 14 => 0003ffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 35, 21 => 000007ffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 35, 28 => 0000000fffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 35, 35 => 000000001fffffff (40000000 00000000)
rldicl. ffffffffffffffff, 35, 42 => 00000000003fffff (40000000 00000000)
rldicl. ffffffffffffffff, 35, 49 => 0000000000007fff (40000000 00000000)
rldicl. ffffffffffffffff, 35, 56 => 00000000000000ff (40000000 00000000)
rldicl. ffffffffffffffff, 35, 63 => 0000000000000001 (40000000 00000000)
rldicl. ffffffffffffffff, 42, 0 => ffffffffffffffff (80000000 00000000)
rldicl. ffffffffffffffff, 42, 7 => 01ffffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 42, 14 => 0003ffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 42, 21 => 000007ffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 42, 28 => 0000000fffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 42, 35 => 000000001fffffff (40000000 00000000)
rldicl. ffffffffffffffff, 42, 42 => 00000000003fffff (40000000 00000000)
rldicl. ffffffffffffffff, 42, 49 => 0000000000007fff (40000000 00000000)
rldicl. ffffffffffffffff, 42, 56 => 00000000000000ff (40000000 00000000)
rldicl. ffffffffffffffff, 42, 63 => 0000000000000001 (40000000 00000000)
rldicl. ffffffffffffffff, 49, 0 => ffffffffffffffff (80000000 00000000)
rldicl. ffffffffffffffff, 49, 7 => 01ffffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 49, 14 => 0003ffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 49, 21 => 000007ffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 49, 28 => 0000000fffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 49, 35 => 000000001fffffff (40000000 00000000)
rldicl. ffffffffffffffff, 49, 42 => 00000000003fffff (40000000 00000000)
rldicl. ffffffffffffffff, 49, 49 => 0000000000007fff (40000000 00000000)
rldicl. ffffffffffffffff, 49, 56 => 00000000000000ff (40000000 00000000)
rldicl. ffffffffffffffff, 49, 63 => 0000000000000001 (40000000 00000000)
rldicl. ffffffffffffffff, 56, 0 => ffffffffffffffff (80000000 00000000)
rldicl. ffffffffffffffff, 56, 7 => 01ffffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 56, 14 => 0003ffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 56, 21 => 000007ffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 56, 28 => 0000000fffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 56, 35 => 000000001fffffff (40000000 00000000)
rldicl. ffffffffffffffff, 56, 42 => 00000000003fffff (40000000 00000000)
rldicl. ffffffffffffffff, 56, 49 => 0000000000007fff (40000000 00000000)
rldicl. ffffffffffffffff, 56, 56 => 00000000000000ff (40000000 00000000)
rldicl. ffffffffffffffff, 56, 63 => 0000000000000001 (40000000 00000000)
rldicl. ffffffffffffffff, 63, 0 => ffffffffffffffff (80000000 00000000)
rldicl. ffffffffffffffff, 63, 7 => 01ffffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 63, 14 => 0003ffffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 63, 21 => 000007ffffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 63, 28 => 0000000fffffffff (40000000 00000000)
rldicl. ffffffffffffffff, 63, 35 => 000000001fffffff (40000000 00000000)
rldicl. ffffffffffffffff, 63, 42 => 00000000003fffff (40000000 00000000)
rldicl. ffffffffffffffff, 63, 49 => 0000000000007fff (40000000 00000000)
rldicl. ffffffffffffffff, 63, 56 => 00000000000000ff (40000000 00000000)
rldicl. ffffffffffffffff, 63, 63 => 0000000000000001 (40000000 00000000)
rldicr. 0000000000000000, 0, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 0, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 0, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 0, 21 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 0, 28 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 0, 35 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 0, 42 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 0, 49 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 0, 56 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 0, 63 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 7, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 7, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 7, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 7, 21 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 7, 28 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 7, 35 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 7, 42 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 7, 49 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 7, 56 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 7, 63 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 14, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 14, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 14, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 14, 21 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 14, 28 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 14, 35 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 14, 42 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 14, 49 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 14, 56 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 14, 63 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 21, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 21, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 21, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 21, 21 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 21, 28 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 21, 35 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 21, 42 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 21, 49 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 21, 56 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 21, 63 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 28, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 28, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 28, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 28, 21 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 28, 28 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 28, 35 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 28, 42 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 28, 49 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 28, 56 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 28, 63 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 35, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 35, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 35, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 35, 21 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 35, 28 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 35, 35 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 35, 42 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 35, 49 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 35, 56 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 35, 63 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 42, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 42, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 42, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 42, 21 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 42, 28 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 42, 35 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 42, 42 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 42, 49 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 42, 56 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 42, 63 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 49, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 49, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 49, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 49, 21 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 49, 28 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 49, 35 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 49, 42 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 49, 49 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 49, 56 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 49, 63 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 56, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 56, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 56, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 56, 21 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 56, 28 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 56, 35 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 56, 42 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 56, 49 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 56, 56 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 56, 63 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 63, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 63, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 63, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 63, 21 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 63, 28 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 63, 35 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 63, 42 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 63, 49 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 63, 56 => 0000000000000000 (20000000 00000000)
rldicr. 0000000000000000, 63, 63 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 0, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 0, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 0, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 0, 21 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 0, 28 => 0000001800000000 (40000000 00000000)
rldicr. 0000001cbe991def, 0, 35 => 0000001cb0000000 (40000000 00000000)
rldicr. 0000001cbe991def, 0, 42 => 0000001cbe800000 (40000000 00000000)
rldicr. 0000001cbe991def, 0, 49 => 0000001cbe990000 (40000000 00000000)
rldicr. 0000001cbe991def, 0, 56 => 0000001cbe991d80 (40000000 00000000)
rldicr. 0000001cbe991def, 0, 63 => 0000001cbe991def (40000000 00000000)
rldicr. 0000001cbe991def, 7, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 7, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 7, 14 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 7, 21 => 00000c0000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 7, 28 => 00000e5800000000 (40000000 00000000)
rldicr. 0000001cbe991def, 7, 35 => 00000e5f40000000 (40000000 00000000)
rldicr. 0000001cbe991def, 7, 42 => 00000e5f4c800000 (40000000 00000000)
rldicr. 0000001cbe991def, 7, 49 => 00000e5f4c8ec000 (40000000 00000000)
rldicr. 0000001cbe991def, 7, 56 => 00000e5f4c8ef780 (40000000 00000000)
rldicr. 0000001cbe991def, 7, 63 => 00000e5f4c8ef780 (40000000 00000000)
rldicr. 0000001cbe991def, 14, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 14, 7 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 14, 14 => 0006000000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 14, 21 => 00072c0000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 14, 28 => 00072fa000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 14, 35 => 00072fa640000000 (40000000 00000000)
rldicr. 0000001cbe991def, 14, 42 => 00072fa647600000 (40000000 00000000)
rldicr. 0000001cbe991def, 14, 49 => 00072fa6477bc000 (40000000 00000000)
rldicr. 0000001cbe991def, 14, 56 => 00072fa6477bc000 (40000000 00000000)
rldicr. 0000001cbe991def, 14, 63 => 00072fa6477bc000 (40000000 00000000)
rldicr. 0000001cbe991def, 21, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 21, 7 => 0300000000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 21, 14 => 0396000000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 21, 21 => 0397d00000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 21, 28 => 0397d32000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 21, 35 => 0397d323b0000000 (40000000 00000000)
rldicr. 0000001cbe991def, 21, 42 => 0397d323bde00000 (40000000 00000000)
rldicr. 0000001cbe991def, 21, 49 => 0397d323bde00000 (40000000 00000000)
rldicr. 0000001cbe991def, 21, 56 => 0397d323bde00000 (40000000 00000000)
rldicr. 0000001cbe991def, 21, 63 => 0397d323bde00000 (40000000 00000000)
rldicr. 0000001cbe991def, 28, 0 => 8000000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 28, 7 => cb00000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 28, 14 => cbe8000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 28, 21 => cbe9900000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 28, 28 => cbe991d800000000 (80000000 00000000)
rldicr. 0000001cbe991def, 28, 35 => cbe991def0000000 (80000000 00000000)
rldicr. 0000001cbe991def, 28, 42 => cbe991def0000000 (80000000 00000000)
rldicr. 0000001cbe991def, 28, 49 => cbe991def0000000 (80000000 00000000)
rldicr. 0000001cbe991def, 28, 56 => cbe991def0000000 (80000000 00000000)
rldicr. 0000001cbe991def, 28, 63 => cbe991def0000001 (80000000 00000000)
rldicr. 0000001cbe991def, 35, 0 => 8000000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 35, 7 => f400000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 35, 14 => f4c8000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 35, 21 => f4c8ec0000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 35, 28 => f4c8ef7800000000 (80000000 00000000)
rldicr. 0000001cbe991def, 35, 35 => f4c8ef7800000000 (80000000 00000000)
rldicr. 0000001cbe991def, 35, 42 => f4c8ef7800000000 (80000000 00000000)
rldicr. 0000001cbe991def, 35, 49 => f4c8ef7800000000 (80000000 00000000)
rldicr. 0000001cbe991def, 35, 56 => f4c8ef7800000080 (80000000 00000000)
rldicr. 0000001cbe991def, 35, 63 => f4c8ef78000000e5 (80000000 00000000)
rldicr. 0000001cbe991def, 42, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 42, 7 => 6400000000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 42, 14 => 6476000000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 42, 21 => 6477bc0000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 42, 28 => 6477bc0000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 42, 35 => 6477bc0000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 42, 42 => 6477bc0000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 42, 49 => 6477bc0000004000 (40000000 00000000)
rldicr. 0000001cbe991def, 42, 56 => 6477bc0000007280 (40000000 00000000)
rldicr. 0000001cbe991def, 42, 63 => 6477bc00000072fa (40000000 00000000)
rldicr. 0000001cbe991def, 49, 0 => 0000000000000000 (20000000 00000000)
rldicr. 0000001cbe991def, 49, 7 => 3b00000000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 49, 14 => 3bde000000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 49, 21 => 3bde000000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 49, 28 => 3bde000000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 49, 35 => 3bde000000000000 (40000000 00000000)
rldicr. 0000001cbe991def, 49, 42 => 3bde000000200000 (40000000 00000000)
rldicr. 0000001cbe991def, 49, 49 => 3bde000000394000 (40000000 00000000)
rldicr. 0000001cbe991def, 49, 56 => 3bde000000397d00 (40000000 00000000)
rldicr. 0000001cbe991def, 49, 63 => 3bde000000397d32 (40000000 00000000)
rldicr. 0000001cbe991def, 56, 0 => 8000000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 56, 7 => ef00000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 56, 14 => ef00000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 56, 21 => ef00000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 56, 28 => ef00000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 56, 35 => ef00000010000000 (80000000 00000000)
rldicr. 0000001cbe991def, 56, 42 => ef0000001ca00000 (80000000 00000000)
rldicr. 0000001cbe991def, 56, 49 => ef0000001cbe8000 (80000000 00000000)
rldicr. 0000001cbe991def, 56, 56 => ef0000001cbe9900 (80000000 00000000)
rldicr. 0000001cbe991def, 56, 63 => ef0000001cbe991d (80000000 00000000)
rldicr. 0000001cbe991def, 63, 0 => 8000000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 63, 7 => 8000000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 63, 14 => 8000000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 63, 21 => 8000000000000000 (80000000 00000000)
rldicr. 0000001cbe991def, 63, 28 => 8000000800000000 (80000000 00000000)
rldicr. 0000001cbe991def, 63, 35 => 8000000e50000000 (80000000 00000000)
rldicr. 0000001cbe991def, 63, 42 => 8000000e5f400000 (80000000 00000000)
rldicr. 0000001cbe991def, 63, 49 => 8000000e5f4c8000 (80000000 00000000)
rldicr. 0000001cbe991def, 63, 56 => 8000000e5f4c8e80 (80000000 00000000)
rldicr. 0000001cbe991def, 63, 63 => 8000000e5f4c8ef7 (80000000 00000000)
rldicr. ffffffffffffffff, 0, 0 => 8000000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 0, 7 => ff00000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 0, 14 => fffe000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 0, 21 => fffffc0000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 0, 28 => fffffff800000000 (80000000 00000000)
rldicr. ffffffffffffffff, 0, 35 => fffffffff0000000 (80000000 00000000)
rldicr. ffffffffffffffff, 0, 42 => ffffffffffe00000 (80000000 00000000)
rldicr. ffffffffffffffff, 0, 49 => ffffffffffffc000 (80000000 00000000)
rldicr. ffffffffffffffff, 0, 56 => ffffffffffffff80 (80000000 00000000)
rldicr. ffffffffffffffff, 0, 63 => ffffffffffffffff (80000000 00000000)
rldicr. ffffffffffffffff, 7, 0 => 8000000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 7, 7 => ff00000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 7, 14 => fffe000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 7, 21 => fffffc0000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 7, 28 => fffffff800000000 (80000000 00000000)
rldicr. ffffffffffffffff, 7, 35 => fffffffff0000000 (80000000 00000000)
rldicr. ffffffffffffffff, 7, 42 => ffffffffffe00000 (80000000 00000000)
rldicr. ffffffffffffffff, 7, 49 => ffffffffffffc000 (80000000 00000000)
rldicr. ffffffffffffffff, 7, 56 => ffffffffffffff80 (80000000 00000000)
rldicr. ffffffffffffffff, 7, 63 => ffffffffffffffff (80000000 00000000)
rldicr. ffffffffffffffff, 14, 0 => 8000000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 14, 7 => ff00000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 14, 14 => fffe000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 14, 21 => fffffc0000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 14, 28 => fffffff800000000 (80000000 00000000)
rldicr. ffffffffffffffff, 14, 35 => fffffffff0000000 (80000000 00000000)
rldicr. ffffffffffffffff, 14, 42 => ffffffffffe00000 (80000000 00000000)
rldicr. ffffffffffffffff, 14, 49 => ffffffffffffc000 (80000000 00000000)
rldicr. ffffffffffffffff, 14, 56 => ffffffffffffff80 (80000000 00000000)
rldicr. ffffffffffffffff, 14, 63 => ffffffffffffffff (80000000 00000000)
rldicr. ffffffffffffffff, 21, 0 => 8000000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 21, 7 => ff00000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 21, 14 => fffe000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 21, 21 => fffffc0000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 21, 28 => fffffff800000000 (80000000 00000000)
rldicr. ffffffffffffffff, 21, 35 => fffffffff0000000 (80000000 00000000)
rldicr. ffffffffffffffff, 21, 42 => ffffffffffe00000 (80000000 00000000)
rldicr. ffffffffffffffff, 21, 49 => ffffffffffffc000 (80000000 00000000)
rldicr. ffffffffffffffff, 21, 56 => ffffffffffffff80 (80000000 00000000)
rldicr. ffffffffffffffff, 21, 63 => ffffffffffffffff (80000000 00000000)
rldicr. ffffffffffffffff, 28, 0 => 8000000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 28, 7 => ff00000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 28, 14 => fffe000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 28, 21 => fffffc0000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 28, 28 => fffffff800000000 (80000000 00000000)
rldicr. ffffffffffffffff, 28, 35 => fffffffff0000000 (80000000 00000000)
rldicr. ffffffffffffffff, 28, 42 => ffffffffffe00000 (80000000 00000000)
rldicr. ffffffffffffffff, 28, 49 => ffffffffffffc000 (80000000 00000000)
rldicr. ffffffffffffffff, 28, 56 => ffffffffffffff80 (80000000 00000000)
rldicr. ffffffffffffffff, 28, 63 => ffffffffffffffff (80000000 00000000)
rldicr. ffffffffffffffff, 35, 0 => 8000000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 35, 7 => ff00000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 35, 14 => fffe000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 35, 21 => fffffc0000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 35, 28 => fffffff800000000 (80000000 00000000)
rldicr. ffffffffffffffff, 35, 35 => fffffffff0000000 (80000000 00000000)
rldicr. ffffffffffffffff, 35, 42 => ffffffffffe00000 (80000000 00000000)
rldicr. ffffffffffffffff, 35, 49 => ffffffffffffc000 (80000000 00000000)
rldicr. ffffffffffffffff, 35, 56 => ffffffffffffff80 (80000000 00000000)
rldicr. ffffffffffffffff, 35, 63 => ffffffffffffffff (80000000 00000000)
rldicr. ffffffffffffffff, 42, 0 => 8000000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 42, 7 => ff00000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 42, 14 => fffe000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 42, 21 => fffffc0000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 42, 28 => fffffff800000000 (80000000 00000000)
rldicr. ffffffffffffffff, 42, 35 => fffffffff0000000 (80000000 00000000)
rldicr. ffffffffffffffff, 42, 42 => ffffffffffe00000 (80000000 00000000)
rldicr. ffffffffffffffff, 42, 49 => ffffffffffffc000 (80000000 00000000)
rldicr. ffffffffffffffff, 42, 56 => ffffffffffffff80 (80000000 00000000)
rldicr. ffffffffffffffff, 42, 63 => ffffffffffffffff (80000000 00000000)
rldicr. ffffffffffffffff, 49, 0 => 8000000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 49, 7 => ff00000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 49, 14 => fffe000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 49, 21 => fffffc0000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 49, 28 => fffffff800000000 (80000000 00000000)
rldicr. ffffffffffffffff, 49, 35 => fffffffff0000000 (80000000 00000000)
rldicr. ffffffffffffffff, 49, 42 => ffffffffffe00000 (80000000 00000000)
rldicr. ffffffffffffffff, 49, 49 => ffffffffffffc000 (80000000 00000000)
rldicr. ffffffffffffffff, 49, 56 => ffffffffffffff80 (80000000 00000000)
rldicr. ffffffffffffffff, 49, 63 => ffffffffffffffff (80000000 00000000)
rldicr. ffffffffffffffff, 56, 0 => 8000000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 56, 7 => ff00000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 56, 14 => fffe000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 56, 21 => fffffc0000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 56, 28 => fffffff800000000 (80000000 00000000)
rldicr. ffffffffffffffff, 56, 35 => fffffffff0000000 (80000000 00000000)
rldicr. ffffffffffffffff, 56, 42 => ffffffffffe00000 (80000000 00000000)
rldicr. ffffffffffffffff, 56, 49 => ffffffffffffc000 (80000000 00000000)
rldicr. ffffffffffffffff, 56, 56 => ffffffffffffff80 (80000000 00000000)
rldicr. ffffffffffffffff, 56, 63 => ffffffffffffffff (80000000 00000000)
rldicr. ffffffffffffffff, 63, 0 => 8000000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 63, 7 => ff00000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 63, 14 => fffe000000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 63, 21 => fffffc0000000000 (80000000 00000000)
rldicr. ffffffffffffffff, 63, 28 => fffffff800000000 (80000000 00000000)
rldicr. ffffffffffffffff, 63, 35 => fffffffff0000000 (80000000 00000000)
rldicr. ffffffffffffffff, 63, 42 => ffffffffffe00000 (80000000 00000000)
rldicr. ffffffffffffffff, 63, 49 => ffffffffffffc000 (80000000 00000000)
rldicr. ffffffffffffffff, 63, 56 => ffffffffffffff80 (80000000 00000000)
rldicr. ffffffffffffffff, 63, 63 => ffffffffffffffff (80000000 00000000)
rldimi. 0000000000000000, 0, 0 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 0, 7 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 0, 14 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 0, 21 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 0, 28 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 0, 35 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 0, 42 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 0, 49 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 0, 56 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 0, 63 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 7, 0 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 7, 7 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 7, 14 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 7, 21 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 7, 28 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 7, 35 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 7, 42 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 7, 49 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 7, 56 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 7, 63 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 14, 0 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 14, 7 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 14, 14 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 14, 21 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 14, 28 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 14, 35 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 14, 42 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 14, 49 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 14, 56 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 14, 63 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 21, 0 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 21, 7 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 21, 14 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 21, 21 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 21, 28 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 21, 35 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 21, 42 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 21, 49 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 21, 56 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 21, 63 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 28, 0 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 28, 7 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 28, 14 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 28, 21 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 28, 28 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 28, 35 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 28, 42 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 28, 49 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 28, 56 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 28, 63 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 35, 0 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 35, 7 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 35, 14 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 35, 21 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 35, 28 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 35, 35 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 35, 42 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 35, 49 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 35, 56 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 35, 63 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 42, 0 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 42, 7 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 42, 14 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 42, 21 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 42, 28 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 42, 35 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 42, 42 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 42, 49 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 42, 56 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 42, 63 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 49, 0 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 49, 7 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 49, 14 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 49, 21 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 49, 28 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 49, 35 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 49, 42 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 49, 49 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 49, 56 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 49, 63 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 56, 0 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 56, 7 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 56, 14 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 56, 21 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 56, 28 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 56, 35 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 56, 42 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 56, 49 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 56, 56 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 56, 63 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 63, 0 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 63, 7 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 63, 14 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 63, 21 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 63, 28 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 63, 35 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 63, 42 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 63, 49 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 63, 56 => 0000000000000000 (20000000 00000000)
rldimi. 0000000000000000, 63, 63 => 0000000000000000 (20000000 00000000)
rldimi. 0000001cbe991def, 0, 0 => 0000001cbe991def (40000000 00000000)
rldimi. 0000001cbe991def, 0, 7 => 0000001cbe991def (40000000 00000000)
rldimi. 0000001cbe991def, 0, 14 => 0000001cbe991def (40000000 00000000)
rldimi. 0000001cbe991def, 0, 21 => 0000001cbe991def (40000000 00000000)
rldimi. 0000001cbe991def, 0, 28 => 0000001cbe991def (40000000 00000000)
rldimi. 0000001cbe991def, 0, 35 => 0000001cbe991def (40000000 00000000)
rldimi. 0000001cbe991def, 0, 42 => 0000001cbe991def (40000000 00000000)
rldimi. 0000001cbe991def, 0, 49 => 0000001cbe991def (40000000 00000000)
rldimi. 0000001cbe991def, 0, 56 => 0000001cbe991def (40000000 00000000)
rldimi. 0000001cbe991def, 0, 63 => 0000001cbe991def (40000000 00000000)
rldimi. 0000001cbe991def, 7, 0 => 00000e5f4c8ef7ef (40000000 00000000)
rldimi. 0000001cbe991def, 7, 7 => 00000e5f4c8ef7ef (40000000 00000000)
rldimi. 0000001cbe991def, 7, 14 => 00000e5f4c8ef7ef (40000000 00000000)
rldimi. 0000001cbe991def, 7, 21 => 00000e5f4c8ef7ef (40000000 00000000)
rldimi. 0000001cbe991def, 7, 28 => 00000e5f4c8ef7ef (40000000 00000000)
rldimi. 0000001cbe991def, 7, 35 => 00000e5f4c8ef7ef (40000000 00000000)
rldimi. 0000001cbe991def, 7, 42 => 00000e5f4c8ef7ef (40000000 00000000)
rldimi. 0000001cbe991def, 7, 49 => 00000e5f4c8ef7ef (40000000 00000000)
rldimi. 0000001cbe991def, 7, 56 => 00000e5f4c8ef7ef (40000000 00000000)
rldimi. 0000001cbe991def, 7, 63 => 00000e5f4c8ef7ee (40000000 00000000)
rldimi. 0000001cbe991def, 14, 0 => 00072fa6477bf7ee (40000000 00000000)
rldimi. 0000001cbe991def, 14, 7 => 00072fa6477bf7ee (40000000 00000000)
rldimi. 0000001cbe991def, 14, 14 => 00072fa6477bf7ee (40000000 00000000)
rldimi. 0000001cbe991def, 14, 21 => 00072fa6477bf7ee (40000000 00000000)
rldimi. 0000001cbe991def, 14, 28 => 00072fa6477bf7ee (40000000 00000000)
rldimi. 0000001cbe991def, 14, 35 => 00072fa6477bf7ee (40000000 00000000)
rldimi. 0000001cbe991def, 14, 42 => 00072fa6477bf7ee (40000000 00000000)
rldimi. 0000001cbe991def, 14, 49 => 00072fa6477bf7ee (40000000 00000000)
rldimi. 0000001cbe991def, 14, 56 => 00072fa6477bf700 (40000000 00000000)
rldimi. 0000001cbe991def, 14, 63 => 00072fa6477bf700 (40000000 00000000)
rldimi. 0000001cbe991def, 21, 0 => 0397d323bdfbf700 (40000000 00000000)
rldimi. 0000001cbe991def, 21, 7 => 0397d323bdfbf700 (40000000 00000000)
rldimi. 0000001cbe991def, 21, 14 => 0397d323bdfbf700 (40000000 00000000)
rldimi. 0000001cbe991def, 21, 21 => 0397d323bdfbf700 (40000000 00000000)
rldimi. 0000001cbe991def, 21, 28 => 0397d323bdfbf700 (40000000 00000000)
rldimi. 0000001cbe991def, 21, 35 => 0397d323bdfbf700 (40000000 00000000)
rldimi. 0000001cbe991def, 21, 42 => 0397d323bdfbf700 (40000000 00000000)
rldimi. 0000001cbe991def, 21, 49 => 0397d323bdfb8000 (40000000 00000000)
rldimi. 0000001cbe991def, 21, 56 => 0397d323bdfb8000 (40000000 00000000)
rldimi. 0000001cbe991def, 21, 63 => 0397d323bdfb8000 (40000000 00000000)
rldimi. 0000001cbe991def, 28, 0 => cbe991defdfb8000 (80000000 00000000)
rldimi. 0000001cbe991def, 28, 7 => cbe991defdfb8000 (80000000 00000000)
rldimi. 0000001cbe991def, 28, 14 => cbe991defdfb8000 (80000000 00000000)
rldimi. 0000001cbe991def, 28, 21 => cbe991defdfb8000 (80000000 00000000)
rldimi. 0000001cbe991def, 28, 28 => cbe991defdfb8000 (80000000 00000000)
rldimi. 0000001cbe991def, 28, 35 => cbe991defdfb8000 (80000000 00000000)
rldimi. 0000001cbe991def, 28, 42 => cbe991defdc00001 (80000000 00000000)
rldimi. 0000001cbe991def, 28, 49 => cbe991defdc00001 (80000000 00000000)
rldimi. 0000001cbe991def, 28, 56 => cbe991defdc00001 (80000000 00000000)
rldimi. 0000001cbe991def, 28, 63 => cbe991defdc00001 (80000000 00000000)
rldimi. 0000001cbe991def, 35, 0 => f4c8ef7efdc00001 (80000000 00000000)
rldimi. 0000001cbe991def, 35, 7 => f4c8ef7efdc00001 (80000000 00000000)
rldimi. 0000001cbe991def, 35, 14 => f4c8ef7efdc00001 (80000000 00000000)
rldimi. 0000001cbe991def, 35, 21 => f4c8ef7efdc00001 (80000000 00000000)
rldimi. 0000001cbe991def, 35, 28 => f4c8ef7efdc00001 (80000000 00000000)
rldimi. 0000001cbe991def, 35, 35 => f4c8ef7ee00000e5 (80000000 00000000)
rldimi. 0000001cbe991def, 35, 42 => f4c8ef7ee00000e5 (80000000 00000000)
rldimi. 0000001cbe991def, 35, 49 => f4c8ef7ee00000e5 (80000000 00000000)
rldimi. 0000001cbe991def, 35, 56 => f4c8ef7ee00000e5 (80000000 00000000)
rldimi. 0000001cbe991def, 35, 63 => f4c8ef7ee00000e5 (80000000 00000000)
rldimi. 0000001cbe991def, 42, 0 => 6477bf7ee00000e5 (40000000 00000000)
rldimi. 0000001cbe991def, 42, 7 => 6477bf7ee00000e5 (40000000 00000000)
rldimi. 0000001cbe991def, 42, 14 => 6477bf7ee00000e5 (40000000 00000000)
rldimi. 0000001cbe991def, 42, 21 => 6477bf7ee00000e5 (40000000 00000000)
rldimi. 0000001cbe991def, 42, 28 => 6477bf70000072fa (40000000 00000000)
rldimi. 0000001cbe991def, 42, 35 => 6477bf70000072fa (40000000 00000000)
rldimi. 0000001cbe991def, 42, 42 => 6477bf70000072fa (40000000 00000000)
rldimi. 0000001cbe991def, 42, 49 => 6477bf70000072fa (40000000 00000000)
rldimi. 0000001cbe991def, 42, 56 => 6477bf70000072fa (40000000 00000000)
rldimi. 0000001cbe991def, 42, 63 => 6477bf70000072fa (40000000 00000000)
rldimi. 0000001cbe991def, 49, 0 => 3bdfbf70000072fa (40000000 00000000)
rldimi. 0000001cbe991def, 49, 7 => 3bdfbf70000072fa (40000000 00000000)
rldimi. 0000001cbe991def, 49, 14 => 3bdfbf70000072fa (40000000 00000000)
rldimi. 0000001cbe991def, 49, 21 => 3bdfb80000397d32 (40000000 00000000)
rldimi. 0000001cbe991def, 49, 28 => 3bdfb80000397d32 (40000000 00000000)
rldimi. 0000001cbe991def, 49, 35 => 3bdfb80000397d32 (40000000 00000000)
rldimi. 0000001cbe991def, 49, 42 => 3bdfb80000397d32 (40000000 00000000)
rldimi. 0000001cbe991def, 49, 49 => 3bdfb80000397d32 (40000000 00000000)
rldimi. 0000001cbe991def, 49, 56 => 3bdfb80000397d32 (40000000 00000000)
rldimi. 0000001cbe991def, 49, 63 => 3bdfb80000397d32 (40000000 00000000)
rldimi. 0000001cbe991def, 56, 0 => efdfb80000397d32 (80000000 00000000)
rldimi. 0000001cbe991def, 56, 7 => efdfb80000397d32 (80000000 00000000)
rldimi. 0000001cbe991def, 56, 14 => efdc00001cbe991d (80000000 00000000)
rldimi. 0000001cbe991def, 56, 21 => efdc00001cbe991d (80000000 00000000)
rldimi. 0000001cbe991def, 56, 28 => efdc00001cbe991d (80000000 00000000)
rldimi. 0000001cbe991def, 56, 35 => efdc00001cbe991d (80000000 00000000)
rldimi. 0000001cbe991def, 56, 42 => efdc00001cbe991d (80000000 00000000)
rldimi. 0000001cbe991def, 56, 49 => efdc00001cbe991d (80000000 00000000)
rldimi. 0000001cbe991def, 56, 56 => efdc00001cbe991d (80000000 00000000)
rldimi. 0000001cbe991def, 56, 63 => efdc00001cbe991d (80000000 00000000)
rldimi. 0000001cbe991def, 63, 0 => efdc00001cbe991d (80000000 00000000)
rldimi. 0000001cbe991def, 63, 7 => ee00000e5f4c8ef7 (80000000 00000000)
rldimi. 0000001cbe991def, 63, 14 => ee00000e5f4c8ef7 (80000000 00000000)
rldimi. 0000001cbe991def, 63, 21 => ee00000e5f4c8ef7 (80000000 00000000)
rldimi. 0000001cbe991def, 63, 28 => ee00000e5f4c8ef7 (80000000 00000000)
rldimi. 0000001cbe991def, 63, 35 => ee00000e5f4c8ef7 (80000000 00000000)
rldimi. 0000001cbe991def, 63, 42 => ee00000e5f4c8ef7 (80000000 00000000)
rldimi. 0000001cbe991def, 63, 49 => ee00000e5f4c8ef7 (80000000 00000000)
rldimi. 0000001cbe991def, 63, 56 => ee00000e5f4c8ef7 (80000000 00000000)
rldimi. 0000001cbe991def, 63, 63 => ee00000e5f4c8ef7 (80000000 00000000)
rldimi. ffffffffffffffff, 0, 0 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 0, 7 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 0, 14 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 0, 21 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 0, 28 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 0, 35 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 0, 42 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 0, 49 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 0, 56 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 0, 63 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 7, 0 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 7, 7 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 7, 14 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 7, 21 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 7, 28 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 7, 35 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 7, 42 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 7, 49 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 7, 56 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 7, 63 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 14, 0 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 14, 7 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 14, 14 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 14, 21 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 14, 28 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 14, 35 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 14, 42 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 14, 49 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 14, 56 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 14, 63 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 21, 0 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 21, 7 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 21, 14 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 21, 21 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 21, 28 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 21, 35 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 21, 42 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 21, 49 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 21, 56 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 21, 63 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 28, 0 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 28, 7 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 28, 14 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 28, 21 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 28, 28 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 28, 35 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 28, 42 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 28, 49 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 28, 56 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 28, 63 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 35, 0 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 35, 7 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 35, 14 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 35, 21 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 35, 28 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 35, 35 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 35, 42 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 35, 49 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 35, 56 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 35, 63 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 42, 0 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 42, 7 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 42, 14 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 42, 21 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 42, 28 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 42, 35 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 42, 42 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 42, 49 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 42, 56 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 42, 63 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 49, 0 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 49, 7 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 49, 14 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 49, 21 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 49, 28 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 49, 35 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 49, 42 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 49, 49 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 49, 56 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 49, 63 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 56, 0 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 56, 7 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 56, 14 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 56, 21 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 56, 28 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 56, 35 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 56, 42 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 56, 49 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 56, 56 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 56, 63 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 63, 0 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 63, 7 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 63, 14 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 63, 21 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 63, 28 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 63, 35 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 63, 42 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 63, 49 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 63, 56 => ffffffffffffffff (80000000 00000000)
rldimi. ffffffffffffffff, 63, 63 => ffffffffffffffff (80000000 00000000)
sradi. 0000000000000000, 0 => 0000000000000000 (20000000 00000000)
sradi. 0000000000000000, 7 => 0000000000000000 (20000000 00000000)
sradi. 0000000000000000, 14 => 0000000000000000 (20000000 00000000)
sradi. 0000000000000000, 21 => 0000000000000000 (20000000 00000000)
sradi. 0000000000000000, 28 => 0000000000000000 (20000000 00000000)
sradi. 0000000000000000, 35 => 0000000000000000 (20000000 00000000)
sradi. 0000000000000000, 42 => 0000000000000000 (20000000 00000000)
sradi. 0000000000000000, 49 => 0000000000000000 (20000000 00000000)
sradi. 0000000000000000, 56 => 0000000000000000 (20000000 00000000)
sradi. 0000000000000000, 63 => 0000000000000000 (20000000 00000000)
sradi. 0000001cbe991def, 0 => 0000001cbe991def (40000000 00000000)
sradi. 0000001cbe991def, 7 => 00000000397d323b (40000000 00000000)
sradi. 0000001cbe991def, 14 => 000000000072fa64 (40000000 00000000)
sradi. 0000001cbe991def, 21 => 000000000000e5f4 (40000000 00000000)
sradi. 0000001cbe991def, 28 => 00000000000001cb (40000000 00000000)
sradi. 0000001cbe991def, 35 => 0000000000000003 (40000000 00000000)
sradi. 0000001cbe991def, 42 => 0000000000000000 (20000000 00000000)
sradi. 0000001cbe991def, 49 => 0000000000000000 (20000000 00000000)
sradi. 0000001cbe991def, 56 => 0000000000000000 (20000000 00000000)
sradi. 0000001cbe991def, 63 => 0000000000000000 (20000000 00000000)
sradi. ffffffffffffffff, 0 => ffffffffffffffff (80000000 00000000)
sradi. ffffffffffffffff, 7 => ffffffffffffffff (80000000 20000000)
sradi. ffffffffffffffff, 14 => ffffffffffffffff (80000000 20000000)
sradi. ffffffffffffffff, 21 => ffffffffffffffff (80000000 20000000)
sradi. ffffffffffffffff, 28 => ffffffffffffffff (80000000 20000000)
sradi. ffffffffffffffff, 35 => ffffffffffffffff (80000000 20000000)
sradi. ffffffffffffffff, 42 => ffffffffffffffff (80000000 20000000)
sradi. ffffffffffffffff, 49 => ffffffffffffffff (80000000 20000000)
sradi. ffffffffffffffff, 56 => ffffffffffffffff (80000000 20000000)
sradi. ffffffffffffffff, 63 => ffffffffffffffff (80000000 20000000)
PPC integer load insns
with one register + one 16 bits immediate args with flags update:
lbz 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lbz 7, (0000001cbe991def) => 0000000000000000, 0 (00000000 00000000)
lbz 15, (ffffffffffffffff) => 0000000000000000, 0 (00000000 00000000)
lbz 1, (00000000ffffffff) => 00000000000000ff, 0 (00000000 00000000)
lbz -7, (00000000be991def) => 000000000000001d, 0 (00000000 00000000)
lbz -15, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lbzu 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lbzu 7, (0000001cbe991def) => 0000000000000000, 7 (00000000 00000000)
lbzu 15, (ffffffffffffffff) => 0000000000000000, 15 (00000000 00000000)
lbzu 1, (00000000ffffffff) => 00000000000000ff, 1 (00000000 00000000)
lbzu -7, (00000000be991def) => 000000000000001d, -7 (00000000 00000000)
lbzu -15, (0000000000000000) => 0000000000000000, -15 (00000000 00000000)
lha 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lha 7, (0000001cbe991def) => ffffffffffffef00, 0 (00000000 00000000)
lha 15, (ffffffffffffffff) => ffffffffffffff00, 0 (00000000 00000000)
lha 1, (00000000ffffffff) => ffffffffffffffff, 0 (00000000 00000000)
lha -7, (00000000be991def) => ffffffffffff991d, 0 (00000000 00000000)
lha -15, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lhau 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lhau 7, (0000001cbe991def) => ffffffffffffef00, 7 (00000000 00000000)
lhau 15, (ffffffffffffffff) => ffffffffffffff00, 15 (00000000 00000000)
lhau 1, (00000000ffffffff) => ffffffffffffffff, 1 (00000000 00000000)
lhau -7, (00000000be991def) => ffffffffffff991d, -7 (00000000 00000000)
lhau -15, (0000000000000000) => 0000000000000000, -15 (00000000 00000000)
lhz 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lhz 7, (0000001cbe991def) => 000000000000ef00, 0 (00000000 00000000)
lhz 15, (ffffffffffffffff) => 000000000000ff00, 0 (00000000 00000000)
lhz 1, (00000000ffffffff) => 000000000000ffff, 0 (00000000 00000000)
lhz -7, (00000000be991def) => 000000000000991d, 0 (00000000 00000000)
lhz -15, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lhzu 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lhzu 7, (0000001cbe991def) => 000000000000ef00, 7 (00000000 00000000)
lhzu 15, (ffffffffffffffff) => 000000000000ff00, 15 (00000000 00000000)
lhzu 1, (00000000ffffffff) => 000000000000ffff, 1 (00000000 00000000)
lhzu -7, (00000000be991def) => 000000000000991d, -7 (00000000 00000000)
lhzu -15, (0000000000000000) => 0000000000000000, -15 (00000000 00000000)
lwz 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lwz 7, (0000001cbe991def) => 00000000991def00, 0 (00000000 00000000)
lwz 15, (ffffffffffffffff) => 00000000ffffff00, 0 (00000000 00000000)
lwz 1, (00000000ffffffff) => 00000000ffffffff, 0 (00000000 00000000)
lwz -7, (00000000be991def) => 000000001cbe991d, 0 (00000000 00000000)
lwz -15, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lwzu 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lwzu 7, (0000001cbe991def) => 00000000991def00, 7 (00000000 00000000)
lwzu 15, (ffffffffffffffff) => 00000000ffffff00, 15 (00000000 00000000)
lwzu 1, (00000000ffffffff) => 00000000ffffffff, 1 (00000000 00000000)
lwzu -7, (00000000be991def) => 000000001cbe991d, -7 (00000000 00000000)
lwzu -15, (0000000000000000) => 0000000000000000, -15 (00000000 00000000)
ld 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
ld 7, (0000001cbe991def) => be991def00000000, 0 (00000000 00000000)
ld 15, (ffffffffffffffff) => ffffffff0000001c, 0 (00000000 00000000)
ld 1, (00000000ffffffff) => ffffffffffffffff, 0 (00000000 00000000)
ld -7, (00000000be991def) => 0000001cbe991def, -8 (00000000 00000000)
ld -15, (0000000000000000) => 0000000000000000, -16 (00000000 00000000)
ldu 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
ldu 7, (0000001cbe991def) => be991def00000000, 4 (00000000 00000000)
ldu 15, (ffffffffffffffff) => ffffffff0000001c, 12 (00000000 00000000)
ldu 1, (00000000ffffffff) => ffffffffffffffff, 0 (00000000 00000000)
ldu -7, (00000000be991def) => 0000001cbe991def, -8 (00000000 00000000)
ldu -15, (0000000000000000) => 0000000000000000, -16 (00000000 00000000)
lwa 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lwa 7, (0000001cbe991def) => 0000000000000000, 0 (00000000 00000000)
lwa 15, (ffffffffffffffff) => 000000000000001c, 0 (00000000 00000000)
lwa 1, (00000000ffffffff) => ffffffffffffffff, 0 (00000000 00000000)
lwa -7, (00000000be991def) => 0000001cbe991def, -8 (00000000 00000000)
lwa -15, (0000000000000000) => 0000000000000000, -16 (00000000 00000000)
PPC integer load insns with two register args:
lbzx 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lbzx 8, (0000001cbe991def) => 00000000000000ef, 0 (00000000 00000000)
lbzx 16, (ffffffffffffffff) => 00000000000000ff, 0 (00000000 00000000)
lbzux 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lbzux 8, (0000001cbe991def) => 00000000000000ef, 8 (00000000 00000000)
lbzux 16, (ffffffffffffffff) => 00000000000000ff, 16 (00000000 00000000)
lhax 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lhax 8, (0000001cbe991def) => 0000000000001def, 0 (00000000 00000000)
lhax 16, (ffffffffffffffff) => ffffffffffffffff, 0 (00000000 00000000)
lhaux 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lhaux 8, (0000001cbe991def) => 0000000000001def, 8 (00000000 00000000)
lhaux 16, (ffffffffffffffff) => ffffffffffffffff, 16 (00000000 00000000)
lhzx 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lhzx 8, (0000001cbe991def) => 0000000000001def, 0 (00000000 00000000)
lhzx 16, (ffffffffffffffff) => 000000000000ffff, 0 (00000000 00000000)
lhzux 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lhzux 8, (0000001cbe991def) => 0000000000001def, 8 (00000000 00000000)
lhzux 16, (ffffffffffffffff) => 000000000000ffff, 16 (00000000 00000000)
lwzx 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lwzx 8, (0000001cbe991def) => 00000000be991def, 0 (00000000 00000000)
lwzx 16, (ffffffffffffffff) => 00000000ffffffff, 0 (00000000 00000000)
lwzux 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lwzux 8, (0000001cbe991def) => 00000000be991def, 8 (00000000 00000000)
lwzux 16, (ffffffffffffffff) => 00000000ffffffff, 16 (00000000 00000000)
ldx 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
ldx 8, (0000001cbe991def) => 0000001cbe991def, 0 (00000000 00000000)
ldx 16, (ffffffffffffffff) => ffffffffffffffff, 0 (00000000 00000000)
ldux 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
ldux 8, (0000001cbe991def) => 0000001cbe991def, 8 (00000000 00000000)
ldux 16, (ffffffffffffffff) => ffffffffffffffff, 16 (00000000 00000000)
lwax 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lwax 8, (0000001cbe991def) => ffffffffbe991def, 0 (00000000 00000000)
lwax 16, (ffffffffffffffff) => ffffffffffffffff, 0 (00000000 00000000)
lwaux 0, (0000000000000000) => 0000000000000000, 0 (00000000 00000000)
lwaux 8, (0000001cbe991def) => ffffffffbe991def, 8 (00000000 00000000)
lwaux 16, (ffffffffffffffff) => ffffffffffffffff, 16 (00000000 00000000)
PPC integer store insns
with one register + one 16 bits immediate args with flags update:
stb 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
stb 0000001cbe991def, 8 => 00000000000000ef, 0 (00000000 00000000)
stb ffffffffffffffff, 16 => 00000000000000ff, 0 (00000000 00000000)
stb 0000000000000000, -16 => 0000000000000000, 0 (00000000 00000000)
stb 0000001cbe991def, -8 => 00000000000000ef, 0 (00000000 00000000)
stb ffffffffffffffff, 0 => 00000000000000ff, 0 (00000000 00000000)
stbu 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
stbu 0000001cbe991def, 8 => 00000000000000ef, 8 (00000000 00000000)
stbu ffffffffffffffff, 16 => 00000000000000ff, 16 (00000000 00000000)
stbu 0000000000000000, -16 => 0000000000000000, -16 (00000000 00000000)
stbu 0000001cbe991def, -8 => 00000000000000ef, -8 (00000000 00000000)
stbu ffffffffffffffff, 0 => 00000000000000ff, 0 (00000000 00000000)
sth 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
sth 0000001cbe991def, 8 => 0000000000001def, 0 (00000000 00000000)
sth ffffffffffffffff, 16 => 000000000000ffff, 0 (00000000 00000000)
sth 0000000000000000, -16 => 0000000000000000, 0 (00000000 00000000)
sth 0000001cbe991def, -8 => 0000000000001def, 0 (00000000 00000000)
sth ffffffffffffffff, 0 => 000000000000ffff, 0 (00000000 00000000)
sthu 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
sthu 0000001cbe991def, 8 => 0000000000001def, 8 (00000000 00000000)
sthu ffffffffffffffff, 16 => 000000000000ffff, 16 (00000000 00000000)
sthu 0000000000000000, -16 => 0000000000000000, -16 (00000000 00000000)
sthu 0000001cbe991def, -8 => 0000000000001def, -8 (00000000 00000000)
sthu ffffffffffffffff, 0 => 000000000000ffff, 0 (00000000 00000000)
stw 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
stw 0000001cbe991def, 8 => 00000000be991def, 0 (00000000 00000000)
stw ffffffffffffffff, 16 => 00000000ffffffff, 0 (00000000 00000000)
stw 0000000000000000, -16 => 0000000000000000, 0 (00000000 00000000)
stw 0000001cbe991def, -8 => 00000000be991def, 0 (00000000 00000000)
stw ffffffffffffffff, 0 => 00000000ffffffff, 0 (00000000 00000000)
stwu 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
stwu 0000001cbe991def, 8 => 00000000be991def, 8 (00000000 00000000)
stwu ffffffffffffffff, 16 => 00000000ffffffff, 16 (00000000 00000000)
stwu 0000000000000000, -16 => 0000000000000000, -16 (00000000 00000000)
stwu 0000001cbe991def, -8 => 00000000be991def, -8 (00000000 00000000)
stwu ffffffffffffffff, 0 => 00000000ffffffff, 0 (00000000 00000000)
std 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
std 0000001cbe991def, 8 => 0000001cbe991def, 0 (00000000 00000000)
std ffffffffffffffff, 16 => ffffffffffffffff, 0 (00000000 00000000)
std 0000000000000000, -16 => 0000000000000000, 0 (00000000 00000000)
std 0000001cbe991def, -8 => 0000001cbe991def, 0 (00000000 00000000)
std ffffffffffffffff, 0 => ffffffffffffffff, 0 (00000000 00000000)
stdu 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
stdu 0000001cbe991def, 8 => 0000001cbe991def, 0 (00000000 00000000)
stdu ffffffffffffffff, 16 => ffffffffffffffff, 0 (00000000 00000000)
stdu 0000000000000000, -16 => 0000000000000000, 0 (00000000 00000000)
stdu 0000001cbe991def, -8 => 0000001cbe991def, 0 (00000000 00000000)
stdu ffffffffffffffff, 0 => ffffffffffffffff, 0 (00000000 00000000)
PPC integer store insns with three register args:
stbx 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
stbx 0000001cbe991def, 8 => 00000000000000ef, 0 (00000000 00000000)
stbx ffffffffffffffff, 16 => 00000000000000ff, 0 (00000000 00000000)
stbux 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
stbux 0000001cbe991def, 8 => 00000000000000ef, 8 (00000000 00000000)
stbux ffffffffffffffff, 16 => 00000000000000ff, 16 (00000000 00000000)
sthx 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
sthx 0000001cbe991def, 8 => 0000000000001def, 0 (00000000 00000000)
sthx ffffffffffffffff, 16 => 000000000000ffff, 0 (00000000 00000000)
sthux 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
sthux 0000001cbe991def, 8 => 0000000000001def, 8 (00000000 00000000)
sthux ffffffffffffffff, 16 => 000000000000ffff, 16 (00000000 00000000)
stwx 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
stwx 0000001cbe991def, 8 => 00000000be991def, 0 (00000000 00000000)
stwx ffffffffffffffff, 16 => 00000000ffffffff, 0 (00000000 00000000)
stwux 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
stwux 0000001cbe991def, 8 => 00000000be991def, 8 (00000000 00000000)
stwux ffffffffffffffff, 16 => 00000000ffffffff, 16 (00000000 00000000)
stdx 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
stdx 0000001cbe991def, 8 => 0000001cbe991def, 0 (00000000 00000000)
stdx ffffffffffffffff, 16 => ffffffffffffffff, 0 (00000000 00000000)
stdux 0000000000000000, 0 => 0000000000000000, 0 (00000000 00000000)
stdux 0000001cbe991def, 8 => 0000001cbe991def, 8 (00000000 00000000)
stdux ffffffffffffffff, 16 => ffffffffffffffff, 16 (00000000 00000000)
PPC integer population count with one register args, no flags:
popcntb 0000000000000000 => 0000000000000000 (00000000 00000000)
popcntb 0000001cbe991def => 0000000306040407 (00000000 00000000)
popcntb ffffffffffffffff => 0808080808080808 (00000000 00000000)
All done. Tested 210 different instructions