blob: 7a3fdfad3092ce4e622ca9ff5a820ec91733cdf7 [file] [log] [blame]
/*
* Copyright (C) 2013 The Android Open Source Project
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
#ifndef ART_RUNTIME_ARCH_X86_ASM_SUPPORT_X86_S_
#define ART_RUNTIME_ARCH_X86_ASM_SUPPORT_X86_S_
#include "asm_support_x86.h"
#if defined(__APPLE__)
// Mac OS' as(1) doesn't let you name macro parameters.
#define MACRO0(macro_name) .macro macro_name
#define MACRO1(macro_name, macro_arg1) .macro macro_name
#define MACRO2(macro_name, macro_arg1, macro_args2) .macro macro_name
#define MACRO3(macro_name, macro_arg1, macro_args2, macro_args3) .macro macro_name
#define END_MACRO .endmacro
// Mac OS' as(1) uses $0, $1, and so on for macro arguments, and function names
// are mangled with an extra underscore prefix. The use of $x for arguments
// mean that literals need to be represented with $$x in macros.
#define SYMBOL(name) _ ## name
#define VAR(name,index) SYMBOL($index)
#define REG_VAR(name,index) %$index
#define CALL_MACRO(name,index) $index
#define LITERAL(value) $value
#define MACRO_LITERAL(value) $$value
#else
// Regular gas(1) lets you name macro parameters.
#define MACRO0(macro_name) .macro macro_name
#define MACRO1(macro_name, macro_arg1) .macro macro_name macro_arg1
#define MACRO2(macro_name, macro_arg1, macro_arg2) .macro macro_name macro_arg1, macro_arg2
#define MACRO3(macro_name, macro_arg1, macro_arg2, macro_arg3) .macro macro_name macro_arg1, macro_arg2, macro_arg3
#define END_MACRO .endm
// Regular gas(1) uses \argument_name for macro arguments.
// We need to turn on alternate macro syntax so we can use & instead or the preprocessor
// will screw us by inserting a space between the \ and the name. Even in this mode there's
// no special meaning to $, so literals are still just $x. The use of altmacro means % is a
// special character meaning care needs to be taken when passing registers as macro arguments.
.altmacro
#define SYMBOL(name) name
#define VAR(name,index) name&
#define REG_VAR(name,index) %name
#define CALL_MACRO(name,index) name&
#define LITERAL(value) $value
#define MACRO_LITERAL(value) $value
#endif
/* Cache alignment for function entry */
MACRO0(ALIGN_FUNCTION_ENTRY)
.balign 16
END_MACRO
MACRO1(DEFINE_FUNCTION, c_name)
.type VAR(c_name, 0), @function
.globl VAR(c_name, 0)
ALIGN_FUNCTION_ENTRY
VAR(c_name, 0):
.cfi_startproc
END_MACRO
MACRO1(END_FUNCTION, c_name)
.cfi_endproc
.size \c_name, .-\c_name
END_MACRO
MACRO1(PUSH, reg)
pushl REG_VAR(reg, 0)
.cfi_adjust_cfa_offset 4
.cfi_rel_offset REG_VAR(reg, 0), 0
END_MACRO
MACRO1(POP, reg)
popl REG_VAR(reg,0)
.cfi_adjust_cfa_offset -4
.cfi_restore REG_VAR(reg,0)
END_MACRO
MACRO1(UNIMPLEMENTED,name)
.type VAR(name, 0), @function
.globl VAR(name, 0)
ALIGN_FUNCTION_ENTRY
VAR(name, 0):
.cfi_startproc
int3
int3
.cfi_endproc
.size \name, .-\name
END_MACRO
#endif // ART_RUNTIME_ARCH_X86_ASM_SUPPORT_X86_S_