blob: ef1d098bea28a374f34726344a3d331070182a73 [file] [log] [blame]
[abi_symbol_list]
add_device_randomness
add_timer
add_timer_on
add_uevent_var
add_wait_queue
adjust_managed_page_count
alarm_cancel
alarm_init
alarm_start_relative
alloc_chrdev_region
__alloc_disk_node
alarmtimer_get_rtcdev
alloc_etherdev_mqs
alloc_io_pgtable_ops
alloc_netdev_mqs
alloc_pages_exact
__alloc_pages_nodemask
__alloc_percpu
__alloc_skb
alloc_skb_with_frags
alloc_workqueue
amba_bustype
arch_bpf_jit_check_func
__arch_clear_user
__arch_copy_from_user
__arch_copy_in_user
__arch_copy_to_user
arm64_const_caps_ready
atomic_notifier_call_chain
atomic_notifier_chain_register
atomic_notifier_chain_unregister
autoremove_wake_function
backlight_device_get_by_type
backlight_device_register
backlight_device_set_brightness
backlight_device_unregister
bin2hex
__bitmap_clear
bitmap_find_next_zero_area_off
bitmap_free
bitmap_parselist
bitmap_print_to_pagebuf
__bitmap_set
__bitmap_subset
bitmap_zalloc
blk_cleanup_queue
blk_execute_rq
blk_execute_rq_nowait
blk_get_queue
blk_get_request
blk_mq_alloc_tag_set
blk_mq_complete_request
__blk_mq_end_request
blk_mq_end_request
blk_mq_free_tag_set
blk_mq_init_queue
blk_mq_quiesce_queue
blk_mq_requeue_request
blk_mq_run_hw_queues
blk_mq_start_request
blk_mq_start_stopped_hw_queues
blk_mq_stop_hw_queue
blk_mq_unquiesce_queue
blk_mq_virtio_map_queues
blk_put_queue
blk_put_request
blk_queue_alignment_offset
blk_queue_bounce_limit
blk_queue_can_use_dma_map_merging
blk_queue_flag_clear
blk_queue_flag_set
blk_queue_io_min
blk_queue_io_opt
blk_queue_logical_block_size
blk_queue_max_discard_sectors
blk_queue_max_discard_segments
blk_queue_max_hw_sectors
blk_queue_max_segments
blk_queue_max_segment_size
blk_queue_max_write_zeroes_sectors
blk_queue_physical_block_size
blk_queue_rq_timeout
blk_queue_update_dma_alignment
blk_queue_write_cache
blk_rq_map_kern
blk_rq_map_sg
blk_rq_map_user
blk_rq_map_user_iov
blk_rq_unmap_user
blk_status_to_errno
blk_update_request
blk_verify_command
blocking_notifier_call_chain
blocking_notifier_chain_register
blocking_notifier_chain_unregister
bpf_prog_add
bpf_prog_put
bpf_prog_sub
bpf_stats_enabled_key
bpf_trace_run10
bpf_trace_run11
bpf_trace_run12
bpf_trace_run1
bpf_trace_run2
bpf_trace_run3
bpf_trace_run4
bpf_trace_run5
bpf_trace_run6
bpf_trace_run7
bpf_trace_run8
bpf_warn_invalid_xdp_action
bprm_change_interp
build_skb
bus_find_device
bus_for_each_dev
bus_register
bus_set_iommu
bus_unregister
call_netdevice_notifiers
cancel_delayed_work
cancel_delayed_work_sync
cancel_work_sync
capable
cdev_add
cdev_alloc
cdev_del
cdev_device_add
cdev_device_del
cdev_init
__cfg80211_alloc_event_skb
__cfg80211_alloc_reply_skb
cfg80211_calculate_bitrate
cfg80211_chandef_create
cfg80211_ch_switch_notify
cfg80211_connect_done
cfg80211_del_sta_sinfo
cfg80211_disconnected
cfg80211_ft_event
cfg80211_get_bss
cfg80211_gtk_rekey_notify
cfg80211_inform_bss_data
cfg80211_inform_bss_frame_data
cfg80211_mgmt_tx_status
cfg80211_michael_mic_failure
cfg80211_new_sta
cfg80211_pmksa_candidate_notify
cfg80211_put_bss
cfg80211_ready_on_channel
cfg80211_remain_on_channel_expired
cfg80211_roamed
cfg80211_rx_mgmt
cfg80211_rx_unprot_mlme_mgmt
cfg80211_scan_done
cfg80211_sched_scan_results
__cfg80211_send_event_skb
cfg80211_tdls_oper_request
cfg80211_unlink_bss
cfg80211_vendor_cmd_reply
check_disk_change
__check_object_size
__class_create
class_destroy
class_find_device
class_interface_unregister
__class_register
class_unregister
cleanup_srcu_struct
clear_inode
clear_page
clk_bulk_disable
clk_bulk_enable
clk_bulk_get_all
clk_bulk_prepare
clk_bulk_put_all
clk_bulk_unprepare
__clk_determine_rate
clk_disable
clk_enable
clk_fixed_factor_ops
clk_fixed_rate_ops
clk_get
__clk_get_hw
__clk_get_name
clk_get_parent
clk_get_rate
clk_get_sys
clk_hw_get_flags
clk_hw_get_name
clk_hw_get_num_parents
clk_hw_get_parent
clk_hw_get_parent_by_index
clk_hw_get_rate
clk_hw_is_enabled
clk_hw_is_prepared
clk_hw_round_rate
__clk_is_enabled
__clk_mux_determine_rate_closest
clk_prepare
clk_put
clk_register
clk_round_rate
clk_set_parent
clk_set_rate
clk_sync_state
clk_unprepare
__close_fd
cma_alloc
cma_get_name
cma_release
compat_alloc_user_space
complete
complete_all
complete_and_exit
completion_done
component_add
component_bind_all
component_del
component_master_add_with_match
component_master_del
component_match_add_release
component_unbind_all
config_ep_by_speed
config_group_init_type_name
config_item_put
console_stop
console_suspend_enabled
__const_udelay
consume_skb
contig_page_data
_copy_from_iter_full
copy_page
copy_strings_kernel
_copy_to_iter
cpu_bit_bitmap
cpu_down
cpufreq_cpu_get
cpufreq_cpu_put
cpufreq_generic_attr
cpufreq_quick_get_max
cpufreq_register_driver
cpufreq_register_notifier
cpufreq_unregister_driver
cpufreq_unregister_notifier
cpufreq_update_policy
__cpuhp_remove_state
__cpuhp_setup_state
__cpuhp_state_add_instance
__cpuhp_state_remove_instance
cpu_hwcap_keys
cpu_hwcaps
cpumask_next
cpumask_next_wrap
cpu_number
__cpu_online_mask
cpu_pm_register_notifier
cpu_pm_unregister_notifier
__cpu_possible_mask
__cpu_present_mask
cpus_read_lock
cpus_read_unlock
cpu_subsys
cpu_topology
cpu_up
crc32_le
crc8
crc8_populate_msb
crypto_ablkcipher_type
crypto_aead_encrypt
crypto_aead_setauthsize
crypto_aead_setkey
crypto_alloc_aead
crypto_alloc_base
crypto_alloc_shash
crypto_alloc_skcipher
crypto_dequeue_request
crypto_destroy_tfm
crypto_enqueue_request
crypto_init_queue
crypto_register_alg
crypto_register_rngs
crypto_shash_final
crypto_shash_setkey
crypto_shash_update
crypto_skcipher_decrypt
crypto_skcipher_encrypt
crypto_unregister_alg
crypto_unregister_rngs
csum_ipv6_magic
csum_partial
csum_tcpudp_nofold
_ctype
current_time
datagram_poll
d_drop
default_llseek
default_wake_function
delayed_work_timer_fn
del_gendisk
del_timer
del_timer_sync
dentry_open
dequeue_signal
desc_to_gpio
destroy_workqueue
dev_add_pack
dev_alloc_name
dev_close
_dev_crit
dev_driver_string
_dev_emerg
_dev_err
devfreq_add_device
devfreq_add_governor
devfreq_cooling_unregister
devfreq_remove_device
devfreq_remove_governor
devfreq_resume_device
devfreq_suspend_device
dev_fwnode
__dev_get_by_index
dev_get_by_index
dev_get_by_index_rcu
dev_get_by_name
dev_get_regmap
dev_get_stats
device_add
device_add_disk
device_connection_find_match
device_create
device_create_file
device_create_with_groups
device_del
device_destroy
device_find_child
device_for_each_child
device_get_child_node_count
device_get_match_data
device_get_next_child_node
device_initialize
device_init_wakeup
device_link_add
device_link_del
device_match_fwnode
device_match_name
device_property_present
device_property_read_string
device_property_read_u32_array
device_property_read_u8_array
device_register
device_remove_file
device_set_wakeup_capable
device_show_int
device_store_int
device_unregister
device_wakeup_disable
device_wakeup_enable
_dev_info
__dev_kfree_skb_any
devm_add_action
devm_backlight_device_register
devm_clk_bulk_get
devm_clk_bulk_get_all
devm_clk_bulk_get_optional
devm_clk_get
devm_clk_get_optional
devm_clk_hw_register
devm_clk_put
devm_clk_register
dev_mc_sync_multiple
dev_mc_unsync
devm_extcon_dev_allocate
devm_extcon_dev_register
devm_extcon_register_notifier
devm_free_irq
devm_fwnode_get_index_gpiod_from_child
devm_gpiod_get_index
devm_gpiod_get_optional
devm_gpio_request_one
devm_iio_channel_get
devm_iio_device_alloc
__devm_iio_device_register
devm_input_allocate_device
devm_ioremap
devm_ioremap_nocache
devm_ioremap_resource
devm_ioremap_wc
devm_iounmap
devm_kasprintf
devm_kfree
devm_kmalloc
devm_kmemdup
devm_kstrdup
devm_led_classdev_register_ext
devm_nvmem_cell_get
devm_nvmem_device_get
devm_nvmem_register
devm_of_clk_add_hw_provider
devm_of_pci_get_host_bridge_resources
__devm_of_phy_provider_register
devm_of_platform_populate
devm_of_pwm_get
devm_pci_alloc_host_bridge
devm_phy_create
devm_phy_get
devm_pinctrl_get
devm_pinctrl_put
devm_pinctrl_register
devm_platform_ioremap_resource
devm_power_supply_get_by_phandle
devm_power_supply_register
devm_pwm_put
devm_regmap_add_irq_chip
devm_regmap_del_irq_chip
devm_regmap_field_alloc
__devm_regmap_init
__devm_regmap_init_i2c
__devm_regmap_init_mmio_clk
devm_regulator_bulk_get
devm_regulator_get
devm_regulator_get_optional
devm_regulator_put
devm_regulator_register
devm_regulator_register_notifier
devm_request_any_context_irq
devm_request_pci_bus_resources
__devm_request_region
devm_request_threaded_irq
devm_reset_control_array_get
__devm_reset_control_get
devm_reset_controller_register
devm_rtc_allocate_device
devm_rtc_device_register
devm_snd_soc_register_card
devm_thermal_zone_of_sensor_register
devm_usb_get_phy
devm_usb_get_phy_by_phandle
_dev_notice
dev_open
dev_pm_domain_attach
dev_pm_domain_detach
dev_pm_opp_add
dev_pm_opp_find_freq_ceil
dev_pm_opp_find_freq_exact
dev_pm_opp_find_freq_floor
dev_pm_opp_get_opp_count
dev_pm_opp_get_opp_table
dev_pm_opp_get_voltage
dev_pm_opp_put
dev_pm_opp_put_opp_table
dev_pm_opp_register_notifier
dev_pm_opp_remove
dev_pm_opp_unregister_notifier
dev_printk
dev_pm_qos_add_request
dev_pm_qos_remove_request
dev_pm_qos_update_request
dev_queue_xmit
dev_remove_pack
devres_add
devres_destroy
devres_free
devres_release
dev_set_mac_address
dev_set_mtu
dev_set_name
dev_uc_sync_multiple
dev_uc_unsync
_dev_warn
d_instantiate
disable_irq
disable_irq_nosync
disable_percpu_irq
divider_get_val
divider_recalc_rate
divider_ro_round_rate_parent
divider_round_rate_parent
dma_alloc_attrs
dma_async_device_register
dma_async_device_unregister
dma_async_tx_descriptor_init
dma_buf_attach
dma_buf_begin_cpu_access
dma_buf_begin_cpu_access_partial
dma_buf_detach
dma_buf_end_cpu_access
dma_buf_end_cpu_access_partial
dma_buf_export
dma_buf_fd
dma_buf_get
dma_buf_get_flags
dma_buf_kmap
dma_buf_kunmap
dma_buf_map_attachment
dma_buf_put
dma_buf_unmap_attachment
dma_buf_vmap
dma_buf_vunmap
dma_direct_map_page
dma_direct_map_resource
dma_direct_map_sg
dma_direct_sync_sg_for_cpu
dma_direct_sync_sg_for_device
dma_direct_sync_single_for_cpu
dma_direct_sync_single_for_device
dma_direct_unmap_page
dma_direct_unmap_sg
dma_fence_add_callback
dma_fence_array_create
dma_fence_array_ops
dma_fence_context_alloc
dma_fence_default_wait
dma_fence_enable_sw_signaling
dma_fence_get_status
dma_fence_init
dma_fence_match_context
dma_fence_release
dma_fence_remove_callback
dma_fence_signal
dma_fence_signal_locked
dma_fence_wait_timeout
dma_free_attrs
dma_get_merge_boundary
dma_get_sgtable_attrs
dma_get_slave_channel
dma_max_mapping_size
dma_mmap_attrs
dma_pool_alloc
dma_pool_create
dma_pool_destroy
dma_pool_free
dma_release_channel
dma_request_slave_channel
dma_resv_add_excl_fence
dma_resv_add_shared_fence
dma_resv_copy_fences
dma_resv_fini
dma_resv_init
dma_resv_reserve_shared
dma_resv_test_signaled_rcu
dma_resv_wait_timeout_rcu
dma_set_coherent_mask
dma_set_mask
dmam_alloc_attrs
do_exit
do_wait_intr
down
down_read
down_write
dput
drain_workqueue
driver_find_device
driver_register
driver_unregister
drm_add_edid_modes
drm_add_modes_noedid
drm_atomic_commit
drm_atomic_get_connector_state
drm_atomic_get_crtc_state
drm_atomic_get_plane_state
drm_atomic_helper_check
drm_atomic_helper_cleanup_planes
drm_atomic_helper_commit
drm_atomic_helper_commit_duplicated_state
drm_atomic_helper_commit_hw_done
drm_atomic_helper_commit_modeset_disables
drm_atomic_helper_commit_modeset_enables
drm_atomic_helper_commit_planes
__drm_atomic_helper_connector_destroy_state
drm_atomic_helper_connector_destroy_state
__drm_atomic_helper_connector_duplicate_state
drm_atomic_helper_connector_duplicate_state
__drm_atomic_helper_connector_reset
drm_atomic_helper_connector_reset
__drm_atomic_helper_crtc_destroy_state
drm_atomic_helper_crtc_destroy_state
__drm_atomic_helper_crtc_duplicate_state
drm_atomic_helper_crtc_duplicate_state
drm_atomic_helper_crtc_reset
drm_atomic_helper_dirtyfb
drm_atomic_helper_disable_plane
drm_atomic_helper_duplicate_state
drm_atomic_helper_page_flip
drm_atomic_helper_plane_destroy_state
__drm_atomic_helper_plane_duplicate_state
drm_atomic_helper_plane_duplicate_state
drm_atomic_helper_plane_reset
drm_atomic_helper_prepare_planes
drm_atomic_helper_set_config
drm_atomic_helper_shutdown
drm_atomic_helper_swap_state
drm_atomic_helper_update_legacy_modeset_state
drm_atomic_helper_update_plane
drm_atomic_helper_wait_for_fences
drm_atomic_helper_wait_for_vblanks
drm_atomic_set_crtc_for_connector
drm_atomic_set_fence_for_plane
drm_atomic_set_mode_for_crtc
drm_atomic_state_alloc
drm_atomic_state_clear
drm_atomic_state_default_clear
drm_atomic_state_default_release
__drm_atomic_state_free
drm_atomic_state_init
drm_bridge_attach
drm_bridge_disable
drm_bridge_enable
drm_bridge_mode_set
drm_bridge_post_disable
drm_bridge_pre_enable
drm_class_device_register
drm_class_device_unregister
drm_clflush_pages
drm_client_init
drm_client_modeset_commit_force
drm_client_register
drm_compat_ioctl
drm_connector_attach_edid_property
drm_connector_attach_encoder
drm_connector_cleanup
drm_connector_init
drm_connector_list_iter_begin
drm_connector_list_iter_end
drm_connector_list_iter_next
drm_connector_register
drm_connector_unregister
drm_connector_update_edid_property
drm_crtc_cleanup
drm_crtc_handle_vblank
drm_crtc_init_with_planes
drm_crtc_send_vblank_event
drm_crtc_vblank_off
drm_crtc_vblank_on
drm_crtc_vblank_reset
drm_crtc_wait_one_vblank
drm_cvt_mode
drm_dbg
drm_debug
drm_detect_hdmi_monitor
drm_detect_monitor_audio
drm_dev_alloc
drm_dev_dbg
drm_dev_printk
drm_dev_put
drm_dev_register
drm_dev_set_unique
drm_dev_unregister
drm_do_get_edid
drm_dp_atomic_find_vcpi_slots
drm_dp_atomic_release_vcpi_slots
drm_dp_aux_register
drm_dp_aux_unregister
drm_dp_bw_code_to_link_rate
drm_dp_calc_pbn_mode
drm_dp_channel_eq_ok
drm_dp_clock_recovery_ok
drm_dp_dpcd_read
drm_dp_dpcd_read_link_status
drm_dp_dpcd_write
drm_dp_find_vcpi_slots
drm_dp_get_adjust_request_pre_emphasis
drm_dp_get_adjust_request_voltage
drm_dp_link_configure
drm_dp_link_power_down
drm_dp_link_power_up
drm_dp_link_probe
drm_dp_link_rate_to_bw_code
drm_dp_link_train_channel_eq_delay
drm_dp_link_train_clock_recovery_delay
drm_dp_mst_allocate_vcpi
drm_dp_mst_deallocate_vcpi
drm_dp_mst_detect_port
drm_dp_mst_get_edid
drm_dp_mst_hpd_irq
drm_dp_mst_reset_vcpi_slots
drm_dp_mst_topology_mgr_destroy
drm_dp_mst_topology_mgr_init
drm_dp_mst_topology_mgr_set_mst
drm_dp_send_power_updown_phy
drm_dp_update_payload_part1
drm_dp_update_payload_part2
drm_edid_duplicate
drm_encoder_cleanup
drm_encoder_init
drm_err
drm_event_reserve_init_locked
drm_format_info
drm_framebuffer_init
drm_framebuffer_lookup
drm_framebuffer_remove
drm_framebuffer_unregister_private
drm_gem_create_mmap_offset
drm_gem_fb_create_handle
drm_gem_fb_destroy
drm_gem_fb_get_obj
drm_gem_free_mmap_offset
drm_gem_get_pages
drm_gem_handle_create
drm_gem_mmap
drm_gem_mmap_obj
drm_gem_object_init
drm_gem_object_lookup
drm_gem_object_put
drm_gem_object_put_unlocked
drm_gem_object_release
drm_gem_prime_export
drm_gem_prime_fd_to_handle
drm_gem_prime_handle_to_fd
drm_gem_prime_mmap
drm_gem_private_object_init
drm_gem_put_pages
drm_gem_vm_close
drm_gem_vm_open
drm_get_connector_status_name
drm_get_edid
drm_get_format_info
drm_helper_hpd_irq_event
drm_helper_mode_fill_fb_struct
drm_helper_probe_single_connector_modes
drm_ioctl
drm_irq_install
drm_irq_uninstall
drm_kms_helper_hotplug_event
drm_kms_helper_poll_disable
drm_kms_helper_poll_enable
drm_kms_helper_poll_fini
drm_kms_helper_poll_init
drm_match_cea_mode
drm_mm_init
drm_mm_insert_node_in_range
drm_mm_print
drm_mm_remove_node
drm_mm_takedown
drm_mode_config_cleanup
drm_mode_config_init
drm_mode_config_reset
drm_mode_convert_umode
drm_mode_copy
drm_mode_create
drm_mode_create_dp_colorspace_property
drm_mode_debug_printmodeline
drm_mode_duplicate
drm_mode_equal
drm_mode_object_find
drm_mode_object_get
drm_mode_object_put
drm_mode_probed_add
drm_modeset_acquire_fini
drm_modeset_acquire_init
drm_modeset_backoff
drm_mode_set_crtcinfo
drm_modeset_drop_locks
drm_modeset_lock
drm_modeset_lock_all
drm_modeset_lock_all_ctx
drm_mode_set_name
drm_modeset_unlock_all
drm_mode_vrefresh
drm_object_attach_property
drm_object_property_set_value
drm_of_component_match_add
drm_open
drm_panel_add
drm_panel_init
drm_panel_notifier_call_chain
drm_panel_notifier_register
drm_panel_notifier_unregister
drm_panel_remove
drm_plane_cleanup
drm_plane_create_rotation_property
drm_poll
drm_prime_gem_destroy
drm_prime_pages_to_sg
drm_printf
__drm_printfn_debug
drm_property_blob_get
drm_property_blob_put
drm_property_create
drm_property_create_bitmask
drm_property_create_blob
drm_property_create_enum
drm_property_create_range
drm_property_lookup_blob
drm_put_dev
drm_read
drm_release
drm_rotation_simplify
drm_send_event_locked
drm_set_preferred_mode
drm_universal_plane_init
drm_vblank_init
drm_vma_offset_add
drm_vma_offset_lookup_locked
drm_vma_offset_manager_destroy
drm_vma_offset_manager_init
drm_vma_offset_remove
drop_nlink
drm_wait_one_vblank
dump_stack
enable_irq
enable_percpu_irq
eth_commit_mac_addr_change
ether_setup
eth_mac_addr
eth_prepare_mac_addr_change
__ethtool_get_link_ksettings
ethtool_op_get_link
ethtool_op_get_ts_info
eth_type_trans
eth_validate_addr
event_triggers_call
extcon_find_edev_by_node
extcon_get_edev_by_phandle
extcon_get_edev_name
extcon_get_extcon_dev
extcon_get_property
extcon_get_state
extcon_register_notifier
extcon_set_property
extcon_set_property_capability
extcon_set_state_sync
extcon_unregister_notifier
fasync_helper
fd_install
file_path
find_last_bit
find_next_bit
find_next_zero_bit
find_snd_usb_substream
find_vma
finish_wait
firmware_request_nowarn
flow_keys_basic_dissector
flush_dcache_page
flush_delayed_work
flush_work
flush_workqueue
fput
frame_vector_create
frame_vector_destroy
frame_vector_to_pages
frame_vector_to_pfns
free_io_pgtable_ops
free_irq
free_netdev
__free_pages
free_pages
free_pages_exact
free_percpu
free_percpu_irq
freezing_slow_path
freq_qos_add_request
freq_qos_remove_request
freq_qos_update_request
fsl8250_handle_irq
fwnode_connection_find_match
fwnode_get_parent
fwnode_handle_put
fwnode_property_present
fwnode_property_read_string
fwnode_property_read_u16_array
fwnode_property_read_u32_array
gcd
generic_device_group
generic_file_llseek
generic_handle_irq
genlmsg_put
genl_register_family
genl_unregister_family
gen_pool_add_owner
gen_pool_alloc_algo_owner
gen_pool_avail
gen_pool_create
gen_pool_destroy
gen_pool_free_owner
gen_pool_size
getboottime64
get_cpu_device
get_device
__get_free_pages
get_next_ino
get_option
get_random_bytes
get_random_u32
__get_task_comm
get_tree_single
get_unmapped_area
get_unused_fd_flags
get_user_pages
get_vaddr_frames
get_zeroed_page
gnss_allocate_device
gnss_deregister_device
gnss_insert_raw
gnss_put_device
gnss_register_device
gpiochip_add_data_with_key
gpiochip_add_pin_range
gpiochip_generic_free
gpiochip_generic_request
gpiochip_get_data
gpiochip_remove
gpiod_cansleep
gpiod_direction_input
gpiod_direction_output
gpiod_direction_output_raw
gpiod_get_optional
gpiod_get_raw_value
gpiod_get_raw_value_cansleep
gpiod_get_value
gpiod_get_value_cansleep
gpiod_is_active_low
gpiod_set_debounce
gpiod_set_raw_value
gpiod_set_raw_value_cansleep
gpiod_set_value
gpiod_set_value_cansleep
gpiod_to_irq
gpio_free
gpio_free_array
gpio_request
gpio_request_one
gpio_to_desc
gro_cells_destroy
gro_cells_init
gro_cells_receive
handle_edge_irq
handle_level_irq
handle_nested_irq
handle_simple_irq
hex2bin
hex_dump_to_buffer
hex_to_bin
hrtimer_active
hrtimer_cancel
hrtimer_forward
__hrtimer_get_remaining
hrtimer_init
hrtimer_start_range_ns
hrtimer_try_to_cancel
hvc_alloc
hvc_instantiate
hvc_kick
hvc_poll
hvc_remove
__hvc_resize
hwrng_register
hwrng_unregister
hwspin_lock_free
hwspin_lock_register
hwspin_lock_request_specific
__hwspin_lock_timeout
hwspin_lock_unregister
__hwspin_unlock
i2c_add_adapter
i2c_del_adapter
i2c_del_driver
i2c_get_dma_safe_msg_buf
i2c_put_dma_safe_msg_buf
i2c_register_driver
i2c_transfer
i2c_transfer_buffer_flags
icc_get
icc_link_create
icc_node_add
icc_node_create
icc_node_del
icc_node_destroy
icc_provider_add
icc_provider_del
icc_put
icc_set_bw
icc_set_tag
ida_alloc_range
ida_destroy
ida_free
idr_alloc
idr_alloc_cyclic
idr_destroy
idr_find
idr_for_each
idr_get_next
idr_preload
idr_remove
idr_replace
ieee80211_hdrlen
iio_channel_get
iio_channel_release
iio_read_channel_processed
import_iovec
in_egroup_p
init_dummy_netdev
init_net
__init_rwsem
init_timer_key
init_uts_ns
init_wait_entry
__init_waitqueue_head
input_alloc_absinfo
input_allocate_device
input_close_device
input_event
input_ff_create
input_ff_destroy
input_free_device
input_mt_init_slots
input_mt_report_pointer_emulation
input_mt_report_slot_state
input_open_device
input_register_device
input_register_handle
input_register_handler
input_set_abs_params
input_set_capability
input_unregister_device
input_unregister_handle
input_unregister_handler
invalidate_mapping_pages
iomem_resource
iommu_alloc_resv_region
iommu_attach_device
iommu_detach_device
iommu_device_register
iommu_device_unregister
iommu_dma_get_resv_regions
iommu_domain_alloc
iommu_domain_free
iommu_domain_get_attr
iommu_domain_set_attr
iommu_fwspec_add_ids
iommu_fwspec_free
iommu_get_dma_cookie
iommu_get_domain_for_dev
iommu_group_get
iommu_group_get_for_dev
iommu_group_get_iommudata
iommu_group_put
iommu_group_ref_get
iommu_group_remove_device
iommu_group_set_iommudata
iommu_map
iommu_map_sg
iommu_present
iommu_put_dma_cookie
iommu_set_fault_handler
iommu_unmap
ion_alloc
ion_buffer_zero
__ion_device_add_heap
ion_free
ion_heap_map_kernel
ion_heap_map_user
ion_heap_unmap_kernel
__ioremap
iounmap
__iowrite32_copy
ip_compute_csum
iput
__ipv6_addr_type
ipv6_ext_hdr
ipv6_skip_exthdr
irq_chip_ack_parent
irq_chip_mask_parent
irq_chip_set_type_parent
irq_chip_set_wake_parent
irq_chip_unmask_parent
irq_create_fwspec_mapping
irq_dispose_mapping
__irq_domain_add
irq_domain_alloc_irqs_parent
irq_domain_create_hierarchy
irq_domain_free_irqs_common
irq_domain_free_irqs_parent
irq_domain_get_irq_data
irq_domain_remove
irq_domain_set_hwirq_and_chip
irq_domain_set_info
irq_domain_xlate_onecell
irq_domain_xlate_twocell
irq_find_mapping
irq_find_matching_fwspec
irq_get_irq_data
irq_modify_status
irq_of_parse_and_map
irq_set_affinity_hint
irq_set_affinity_notifier
irq_set_chained_handler_and_data
irq_set_chip_and_handler_name
irq_set_chip_data
irq_set_irqchip_state
irq_set_irq_type
irq_set_irq_wake
irq_set_parent
irq_to_desc
iterate_fd
jiffies
jiffies_to_msecs
jiffies_to_usecs
kasprintf
kernel_connect
kernel_getsockname
kernel_kobj
kernel_recvmsg
kernel_sendmsg
kernel_sigaction
kernfs_find_and_get_ns
kernfs_notify
kernfs_put
__kfifo_in
__kfifo_out
kfree
kfree_skb
kfree_skb_list
kill_fasync
kill_litter_super
kimage_vaddr
kimage_voffset
__kmalloc
kmalloc_caches
kmalloc_order_trace
kmem_cache_alloc
kmem_cache_alloc_trace
kmem_cache_create
kmem_cache_destroy
kmem_cache_free
kmemdup
kmemdup_nul
kobject_create_and_add
kobject_del
kobject_init_and_add
kobject_put
kobject_uevent
kobject_uevent_env
krealloc
kset_create_and_add
kset_unregister
ksize
kstrdup
kstrndup
kstrtobool
kstrtoint
kstrtoint_from_user
kstrtoll
kstrtos8
kstrtos8_from_user
kstrtou16
kstrtou16_from_user
kstrtou8
kstrtou8_from_user
kstrtouint
kstrtouint_from_user
kstrtoul_from_user
kstrtoull
kthread_bind
kthread_cancel_delayed_work_sync
kthread_cancel_work_sync
kthread_create_on_node
kthread_create_worker
kthread_delayed_work_timer_fn
kthread_destroy_worker
kthread_flush_work
kthread_flush_worker
__kthread_init_worker
kthread_mod_delayed_work
kthread_park
kthread_parkme
kthread_queue_delayed_work
kthread_queue_work
kthread_should_park
kthread_should_stop
kthread_stop
kthread_unpark
kthread_worker_fn
ktime_get
ktime_get_mono_fast_ns
ktime_get_real_seconds
ktime_get_real_ts64
ktime_get_ts64
ktime_get_with_offset
kvfree
kvmalloc_node
kzfree
led_classdev_flash_register_ext
led_classdev_flash_unregister
led_classdev_register_ext
led_classdev_unregister
led_trigger_event
led_trigger_register_simple
led_trigger_unregister_simple
list_sort
llist_add_batch
__local_bh_enable_ip
lockref_get
lock_sock_nested
lookup_one_len
mac_pton
mark_page_accessed
match_string
mbox_chan_received_data
mbox_chan_txdone
mbox_client_txdone
mbox_controller_register
mbox_controller_unregister
mbox_free_channel
mbox_request_channel
mbox_send_message
media_create_pad_link
media_device_cleanup
media_device_init
__media_device_register
media_device_unregister
media_entity_pads_init
memchr
memcmp
memcpy
__memcpy_fromio
__memcpy_toio
memdup_user
memmove
memparse
memremap
memset
__memset_io
memweight
mipi_dsi_create_packet
mipi_dsi_dcs_set_display_brightness
mipi_dsi_dcs_set_tear_off
mipi_dsi_host_register
mipi_dsi_host_unregister
misc_deregister
misc_register
mmc_of_parse
mmc_send_tuning
mod_delayed_work_on
mod_node_page_state
mod_timer
__module_get
module_layout
module_put
__msecs_to_jiffies
msleep
msleep_interruptible
msm_pinctrl_probe
msm_pinctrl_remove
__mutex_init
mutex_is_locked
mutex_lock
mutex_lock_interruptible
mutex_trylock
mutex_unlock
__napi_alloc_skb
napi_complete_done
napi_consume_skb
napi_disable
napi_gro_flush
napi_gro_receive
napi_hash_del
__napi_schedule
napi_schedule_prep
__ndelay
__netdev_alloc_skb
netdev_change_features
netdev_err
netdev_increment_features
netdev_info
netdev_lower_state_changed
netdev_master_upper_dev_link
netdev_notify_peers
netdev_pick_tx
netdev_rx_handler_register
netdev_rx_handler_unregister
netdev_upper_dev_link
netdev_upper_dev_unlink
netdev_warn
netif_carrier_off
netif_carrier_on
netif_device_attach
netif_device_detach
netif_napi_add
netif_napi_del
netif_receive_skb
netif_rx
netif_rx_ni
netif_schedule_queue
netif_set_real_num_rx_queues
netif_set_real_num_tx_queues
__netif_set_xps_queue
netif_stacked_transfer_operstate
netif_tx_stop_all_queues
netif_tx_wake_queue
netlink_broadcast
netlink_capable
__netlink_dump_start
__netlink_kernel_create
netlink_kernel_release
netlink_unicast
net_ratelimit
nf_conntrack_destroy
nla_memcpy
__nla_parse
nla_put_64bit
nla_put
__nlmsg_put
no_llseek
nonseekable_open
noop_llseek
nr_cpu_ids
nr_swap_pages
ns_capable
nsecs_to_jiffies
ns_to_timespec64
ns_to_timespec
__num_online_cpus
nvmem_cell_get
nvmem_cell_put
nvmem_cell_read
nvmem_cell_read_u32
nvmem_cell_write
nvmem_device_read
nvmem_device_write
of_address_to_resource
of_alias_get_id
of_clk_add_provider
of_clk_del_provider
of_clk_get
of_clk_get_by_name
of_clk_get_from_provider
of_clk_get_parent_count
of_clk_get_parent_name
of_clk_src_onecell_get
of_clk_src_simple_get
of_count_phandle_with_args
of_devfreq_cooling_register
of_device_get_match_data
of_device_is_available
of_device_is_big_endian
of_device_is_compatible
of_device_modalias
of_device_uevent_modalias
of_dma_configure
of_dma_controller_free
of_dma_controller_register
of_dma_is_coherent
of_drm_find_bridge
of_drm_find_panel
of_find_compatible_node
of_find_device_by_node
of_find_matching_node_and_match
of_find_node_by_name
of_find_node_by_phandle
of_find_node_opts_by_path
of_find_node_with_property
of_find_property
of_fwnode_ops
of_genpd_add_provider_onecell
of_genpd_add_provider_simple
of_genpd_del_provider
of_get_address
of_get_child_by_name
of_get_cpu_node
of_get_named_gpio_flags
of_get_next_available_child
of_get_next_child
of_get_parent
of_get_property
of_get_regulator_init_data
of_graph_get_next_endpoint
of_graph_get_remote_node
of_graph_get_remote_port_parent
of_graph_parse_endpoint
of_hwspin_lock_get_id
of_icc_get
of_icc_xlate_onecell
of_iomap
of_irq_find_parent
of_irq_get
of_irq_get_byname
of_irq_parse_and_map_pci
of_irq_parse_one
of_machine_is_compatible
of_match_device
of_match_node
of_modalias_node
of_n_addr_cells
of_node_name_eq
of_n_size_cells
of_parse_phandle
of_parse_phandle_with_args
of_phandle_iterator_init
of_phandle_iterator_next
of_phy_simple_xlate
of_platform_depopulate
of_platform_device_create
of_platform_populate
of_property_count_elems_of_size
of_property_match_string
of_property_read_string
of_property_read_string_helper
of_property_read_u32_index
of_property_read_u64
of_property_read_variable_u16_array
of_property_read_variable_u32_array
of_property_read_variable_u8_array
of_prop_next_string
of_prop_next_u32
of_reserved_mem_device_init_by_idx
of_reserved_mem_device_release
of_reserved_mem_lookup
of_reset_control_array_get
of_thermal_get_ntrips
of_thermal_get_trip_points
of_thermal_is_trip_valid
of_translate_address
of_usb_get_phy_mode
of_usb_host_tpl_support
oops_in_progress
open_exec
panic
panic_notifier_list
panic_timeout
param_array_ops
param_get_int
param_get_string
param_ops_bool
param_ops_byte
param_ops_charp
param_ops_int
param_ops_long
param_ops_string
param_ops_uint
param_ops_ullong
param_ops_ulong
param_set_bool
param_set_copystring
param_set_int
passthru_features_check
pci_alloc_irq_vectors_affinity
pci_assign_resource
pci_assign_unassigned_bus_resources
pci_bus_add_devices
pci_bus_type
pci_clear_master
pci_common_swizzle
pci_d3cold_disable
pci_device_group
pci_disable_device
pci_disable_msi
pcie_capability_read_word
pci_enable_device
pci_find_capability
pci_find_ext_capability
pci_find_next_capability
pci_find_pcie_root_port
pci_free_irq_vectors
pci_get_device
pci_iomap
pci_iomap_range
pci_irq_get_affinity
pci_irq_vector
pci_load_and_free_saved_state
pci_load_saved_state
pcim_enable_device
pci_msi_create_irq_domain
pci_msi_mask_irq
pci_msi_unmask_irq
pci_read_config_byte
pci_read_config_dword
pci_read_config_word
__pci_register_driver
pci_release_region
pci_release_selected_regions
pci_request_region
pci_request_selected_regions
pci_restore_state
pci_save_state
pci_scan_root_bus_bridge
pci_set_master
pci_set_mwi
pci_set_power_state
pci_store_saved_state
pci_unregister_driver
pci_walk_bus
pci_write_config_dword
pci_write_config_word
PDE_DATA
__per_cpu_offset
perf_trace_buf_alloc
perf_trace_run_bpf_submit
pfn_valid
phy_calibrate
phy_exit
phy_init
phy_pm_runtime_get_sync
phy_pm_runtime_put_sync
phy_power_off
phy_power_on
phy_set_mode_ext
pinconf_generic_dt_node_to_map
pinctrl_dev_get_drvdata
pinctrl_lookup_state
pinctrl_pm_select_default_state
pinctrl_pm_select_sleep_state
pinctrl_select_state
pinctrl_utils_free_map
pipe_lock
pipe_unlock
platform_bus_type
platform_device_add
platform_device_add_data
platform_device_add_properties
platform_device_add_resources
platform_device_alloc
platform_device_del
platform_device_put
platform_device_register
platform_device_register_full
platform_device_unregister
__platform_driver_probe
__platform_driver_register
platform_driver_unregister
platform_get_irq
platform_get_irq_byname
platform_get_irq_byname_optional
platform_get_resource
platform_get_resource_byname
platform_irq_count
pm_generic_resume
pm_generic_runtime_resume
pm_generic_runtime_suspend
pm_generic_suspend
pm_genpd_add_subdomain
pm_genpd_init
pm_genpd_remove
pm_genpd_remove_subdomain
pm_power_off
pm_qos_add_notifier
pm_qos_add_request
pm_qos_remove_notifier
pm_qos_remove_request
pm_qos_request_active
pm_qos_update_request
__pm_relax
pm_relax
pm_runtime_allow
pm_runtime_barrier
__pm_runtime_disable
pm_runtime_enable
pm_runtime_forbid
pm_runtime_force_resume
pm_runtime_force_suspend
__pm_runtime_idle
pm_runtime_no_callbacks
__pm_runtime_resume
pm_runtime_set_autosuspend_delay
__pm_runtime_set_status
__pm_runtime_suspend
__pm_runtime_use_autosuspend
__pm_stay_awake
pm_stay_awake
pm_system_wakeup
pm_wakeup_dev_event
pm_wakeup_ws_event
power_supply_changed
power_supply_get_by_name
power_supply_get_drvdata
power_supply_get_property
power_supply_put
power_supply_reg_notifier
power_supply_set_property
power_supply_unreg_notifier
prandom_u32
preempt_schedule
preempt_schedule_notrace
prepare_binprm
prepare_to_wait
prepare_to_wait_event
printk
proc_create
proc_create_data
proc_create_net_single
proc_dointvec
proc_mkdir
proc_remove
proc_mkdir_data
proto_register
proto_unregister
pskb_expand_head
__pskb_pull_tail
___pskb_trim
__put_cred
put_device
put_disk
__put_page
__put_task_struct
put_unused_fd
put_vaddr_frames
pwm_apply_state
pwmchip_add
pwmchip_remove
qcom_smem_state_get
qcom_smem_state_register
qcom_smem_state_unregister
qcom_smem_state_update_bits
qdisc_reset
queue_delayed_work_on
queue_work_on
radix_tree_iter_delete
radix_tree_maybe_preload
radix_tree_next_chunk
___ratelimit
rational_best_approximation
raw_notifier_call_chain
raw_notifier_chain_register
raw_notifier_chain_unregister
_raw_read_lock
_raw_read_lock_bh
_raw_read_lock_irq
_raw_read_lock_irqsave
_raw_read_unlock
_raw_read_unlock_bh
_raw_read_unlock_irq
_raw_read_unlock_irqrestore
_raw_spin_lock
_raw_spin_lock_bh
_raw_spin_lock_irq
_raw_spin_lock_irqsave
_raw_spin_trylock
_raw_spin_trylock_bh
_raw_spin_unlock
_raw_spin_unlock_bh
_raw_spin_unlock_irq
_raw_spin_unlock_irqrestore
_raw_write_lock
_raw_write_lock_bh
_raw_write_lock_irq
_raw_write_lock_irqsave
_raw_write_unlock
_raw_write_unlock_bh
_raw_write_unlock_irq
_raw_write_unlock_irqrestore
rb_erase
rb_first
rb_insert_color
rb_last
rb_next
rb_prev
__rcu_read_lock
__rcu_read_unlock
rdev_get_drvdata
reboot_mode
refcount_dec_and_lock
refcount_dec_and_test_checked
refcount_dec_checked
refcount_dec_not_one
refcount_inc_checked
refcount_inc_not_zero_checked
__refrigerator
regcache_cache_only
regcache_mark_dirty
regcache_sync
regcache_sync_region
__register_binfmt
register_blkdev
__register_chrdev
register_chrdev_region
register_inet6addr_notifier
register_inetaddr_notifier
register_netdev
register_netdevice
register_netdevice_notifier
register_netevent_notifier
register_pernet_subsys
register_pm_notifier
register_reboot_notifier
register_restart_handler
register_shrinker
register_syscore_ops
register_sysctl_table
regmap_bulk_read
regmap_bulk_write
regmap_field_read
regmap_field_update_bits_base
__regmap_init
regmap_irq_get_virq
regmap_raw_read
regmap_read
regmap_update_bits_base
regmap_write
regulator_bulk_disable
regulator_count_voltages
regulator_disable
regulator_disable_deferred
regulator_enable
regulator_get
regulator_get_current_limit
regulator_get_drvdata
regulator_get_mode
regulator_get_voltage
regulator_is_enabled
regulator_is_supported_voltage
regulator_list_voltage
regulator_list_voltage_linear
regulator_lock
regulator_notifier_call_chain
regulator_put
regulator_register
regulator_register_notifier
regulator_set_current_limit
regulator_set_load
regulator_set_mode
regulator_set_voltage
regulator_unlock
regulator_unregister
regulator_unregister_notifier
regulatory_set_wiphy_regd
release_firmware
__release_region
release_sock
remap_pfn_range
remap_vmalloc_range
remove_arg_zero
remove_proc_entry
remove_wait_queue
report_iommu_fault
request_firmware
request_firmware_into_buf
request_firmware_nowait
__request_module
__request_percpu_irq
__request_region
request_threaded_irq
reservation_ww_class
reset_control_assert
reset_control_deassert
reset_control_put
reset_control_reset
revalidate_disk
rfkill_alloc
rfkill_destroy
rfkill_init_sw_state
rfkill_register
rfkill_unregister
round_jiffies
rtc_class_close
rtc_class_open
rtc_read_time
__rtc_register_device
rtc_time64_to_tm
rtc_tm_to_time64
rtc_update_irq
rtc_valid_tm
rtnl_is_locked
rtnl_link_register
rtnl_link_unregister
rtnl_lock
rtnl_register_module
rtnl_trylock
rtnl_unlock
rtnl_unregister
rtnl_unregister_all
save_stack_trace
save_stack_trace_tsk
sched_clock
sched_setattr
sched_setscheduler
schedule
schedule_timeout
schedule_timeout_interruptible
schedule_timeout_uninterruptible
scnprintf
scsi_add_host_with_dma
scsi_autopm_get_device
scsi_autopm_put_device
scsi_block_when_processing_errors
scsi_command_size_tbl
scsi_device_get
scsi_device_put
scsi_eh_prep_cmnd
scsi_eh_restore_cmnd
scsi_get_host_dev
scsi_host_alloc
scsi_host_put
scsi_ioctl
scsi_ioctl_block_when_processing_errors
scsi_is_host_device
scsi_normalize_sense
__scsi_print_sense
scsi_register_interface
scsi_remove_host
scsi_report_bus_reset
scsi_report_device_reset
scsi_scan_host
scsi_sense_desc_find
sdev_prefix_printk
search_binary_handler
security_sock_graft
sdhci_add_host
sdhci_enable_clk
sdhci_get_property
sdhci_pltfm_free
sdhci_pltfm_init
sdhci_remove_host
sdhci_reset
sdhci_set_bus_width
send_sig_info
seq_hex_dump
seq_lseek
seq_open
seq_printf
seq_putc
seq_puts
seq_read
seq_release
seq_vprintf
seq_write
serdev_device_close
serdev_device_open
serdev_device_set_baudrate
serdev_device_set_flow_control
serdev_device_wait_until_sent
serdev_device_write
serdev_device_write_wakeup
serial8250_get_port
serial8250_register_8250_port
serial8250_resume_port
serial8250_suspend_port
serial8250_unregister_port
set_cpus_allowed_ptr
set_disk_ro
set_freezable
set_normalized_timespec64
set_page_dirty
set_page_dirty_lock
set_user_nice
sg_alloc_table
__sg_alloc_table_from_pages
sg_alloc_table_from_pages
sg_copy_from_buffer
sg_copy_to_buffer
sg_free_table
sg_init_one
sg_init_table
sg_miter_next
sg_miter_skip
sg_miter_start
sg_miter_stop
sg_nents
sg_nents_for_len
sg_next
__sg_page_iter_next
__sg_page_iter_start
sg_pcopy_from_buffer
sg_pcopy_to_buffer
sg_scsi_ioctl
shmem_file_setup
shmem_read_mapping_page_gfp
shmem_truncate_range
sigprocmask
si_mem_available
si_meminfo
simple_attr_open
simple_attr_read
simple_attr_release
simple_attr_write
simple_fill_super
simple_open
simple_pin_fs
simple_read_from_buffer
simple_release_fs
simple_statfs
simple_strtoul
simple_write_to_buffer
single_open
single_release
sk_alloc
skb_add_rx_frag
skb_append_pagefrags
skb_checksum
skb_clone
skb_coalesce_rx_frag
skb_copy
skb_copy_bits
skb_copy_datagram_iter
skb_copy_expand
skb_dequeue
__skb_flow_dissect
skb_free_datagram
__skb_get_hash
__skb_gso_segment
__skb_pad
skb_page_frag_refill
skb_partial_csum_set
skb_pull
skb_push
skb_put
skb_queue_head
skb_queue_purge
skb_queue_tail
skb_recv_datagram
skb_set_owner_w
skb_store_bits
skb_to_sgvec
skb_trim
skb_tstamp_tx
sk_free
skip_spaces
smp_call_function
smp_call_function_single
snd_ctl_add
snd_ctl_boolean_mono_info
snd_ctl_enum_info
snd_ctl_new1
snd_ctl_notify
snd_ctl_remove
snd_info_create_card_entry
snd_info_create_module_entry
snd_info_free_entry
snd_info_register
snd_jack_set_key
snd_pcm_add_chmap_ctls
snd_pcm_format_width
snd_pcm_hw_constraint_integer
snd_pcm_hw_constraint_list
snd_pcm_hw_constraint_minmax
snd_pcm_hw_constraint_step
snd_pcm_lib_ioctl
snd_pcm_period_elapsed
snd_pcm_std_chmaps
snd_pcm_stop
snd_soc_add_component_controls
snd_soc_bytes_tlv_callback
snd_soc_card_get_kcontrol
snd_soc_card_jack_new
snd_soc_component_exit_regmap
snd_soc_component_init_regmap
snd_soc_component_read32
snd_soc_component_update_bits
snd_soc_component_write
snd_soc_dai_get_channel_map
snd_soc_dai_set_channel_map
snd_soc_dai_set_fmt
snd_soc_dai_set_sysclk
snd_soc_dai_set_tdm_slot
snd_soc_dapm_add_routes
snd_soc_dapm_disable_pin
snd_soc_dapm_force_enable_pin
snd_soc_dapm_get_enum_double
snd_soc_dapm_get_volsw
snd_soc_dapm_ignore_suspend
snd_soc_dapm_kcontrol_widget
snd_soc_dapm_mixer_update_power
snd_soc_dapm_mux_update_power
snd_soc_dapm_new_controls
snd_soc_dapm_new_widgets
snd_soc_dapm_put_enum_double
snd_soc_dapm_put_volsw
snd_soc_dapm_sync
snd_soc_get_enum_double
snd_soc_get_volsw
snd_soc_get_volsw_sx
snd_soc_info_enum_double
snd_soc_info_multi_ext
snd_soc_info_volsw
snd_soc_info_volsw_sx
snd_soc_jack_report
snd_soc_lookup_component
snd_soc_new_compress
snd_soc_of_parse_audio_routing
snd_soc_of_parse_card_name
snd_soc_pm_ops
snd_soc_put_enum_double
snd_soc_put_volsw
snd_soc_put_volsw_sx
snd_soc_register_component
snd_soc_rtdcom_lookup
snd_soc_unregister_card
snd_soc_unregister_component
snd_timer_interrupt
snd_usb_enable_audio_stream
snprintf
soc_device_register
soc_device_unregister
sock_alloc_send_skb
sock_create_kern
sock_diag_register
sock_diag_save_cookie
sock_diag_unregister
sock_efree
sock_gettstamp
sock_i_ino
sock_init_data
sock_no_accept
sock_no_bind
sock_no_connect
sock_no_getname
sock_no_getsockopt
sock_no_ioctl
sock_no_listen
sock_no_mmap
sock_no_sendpage
sock_no_setsockopt
sock_no_shutdown
sock_no_socketpair
sock_queue_rcv_skb
__sock_recv_ts_and_drops
sock_register
sock_release
__sock_tx_timestamp
sock_unregister
softnet_data
sort
__spi_alloc_controller
spi_register_controller
__spi_register_driver
spi_setup
spi_sync
spi_unregister_controller
__splice_from_pipe
split_page
spmi_controller_add
spmi_controller_alloc
spmi_controller_remove
__spmi_driver_register
spmi_ext_register_read
spmi_ext_register_readl
spmi_ext_register_write
spmi_ext_register_writel
spmi_register_read
spmi_register_write
spmi_register_zero_write
sprintf
sprint_symbol
srcu_init_notifier_head
srcu_notifier_call_chain
srcu_notifier_chain_register
srcu_notifier_chain_unregister
sscanf
__stack_chk_fail
__stack_chk_guard
stack_trace_print
strcasecmp
strchr
strchrnul
strcmp
strcpy
strcspn
strim
string_get_size
string_unescape
strlcat
strlcpy
strlen
strncasecmp
strnchr
strncmp
strncpy
strnlen
strnstr
strpbrk
strrchr
strreplace
strscpy
strsep
strstr
__sw_hweight32
__sw_hweight64
__sw_hweight8
swiotlb_max_segment
sync_file_create
sync_file_get_fence
synchronize_hardirq
synchronize_irq
synchronize_net
synchronize_rcu
syscon_node_to_regmap
syscon_regmap_lookup_by_phandle
sysfs_create_bin_file
sysfs_create_file_ns
sysfs_create_files
sysfs_create_group
sysfs_create_groups
sysfs_create_link
__sysfs_match_string
sysfs_notify
sysfs_remove_bin_file
sysfs_remove_file_ns
sysfs_remove_files
sysfs_remove_group
sysfs_remove_groups
sysfs_remove_link
sysfs_streq
system_freezable_wq
system_freezing_cnt
system_long_wq
system_power_efficient_wq
system_state
system_unbound_wq
system_wq
sys_tz
__tasklet_hi_schedule
tasklet_init
tasklet_kill
__tasklet_schedule
__task_pid_nr_ns
thermal_cdev_update
thermal_cooling_device_unregister
thermal_of_cooling_device_register
thermal_zone_device_register
thermal_zone_device_update
thermal_zone_get_temp
thermal_zone_get_zone_by_name
thermal_zone_of_sensor_register
thermal_zone_of_sensor_unregister
timer_unstable_counter_workaround
timespec64_to_jiffies
_totalram_pages
__trace_bprintk
trace_define_field
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_ignore_this_pid
trace_event_raw_init
trace_event_reg
trace_handle_return
__tracepoint_dma_fence_emit
__tracepoint_xdp_exception
trace_print_array_seq
trace_print_hex_seq
trace_raw_output_prep
trace_seq_printf
tracing_off
try_module_get
try_wait_for_completion
tty_flip_buffer_push
__tty_insert_flip_char
tty_insert_flip_string_fixed_flag
typec_altmode_attention
typec_altmode_get_partner
typec_altmode_notify
typec_altmode_update_active
typec_altmode_vdm
typec_get_drvdata
typec_partner_register_altmode
typec_port_register_altmode
typec_register_partner
typec_register_port
typec_set_data_role
typec_set_mode
typec_set_orientation
typec_set_pwr_opmode
typec_set_pwr_role
typec_set_vconn_role
typec_unregister_altmode
typec_unregister_partner
typec_unregister_port
uart_add_one_port
uart_console_device
uart_console_write
uart_get_baud_rate
uart_insert_char
uart_parse_options
uart_register_driver
uart_remove_one_port
uart_resume_port
uart_set_options
uart_suspend_port
uart_unregister_driver
uart_update_timeout
uart_write_wakeup
__udelay
__uio_register_device
uio_unregister_device
unlock_page
unmap_mapping_range
unregister_binfmt
unregister_blkdev
__unregister_chrdev
unregister_chrdev_region
unregister_gadget_item
unregister_inet6addr_notifier
unregister_inetaddr_notifier
unregister_netdev
unregister_netdevice_many
unregister_netdevice_notifier
unregister_netdevice_queue
unregister_netevent_notifier
unregister_pernet_subsys
unregister_pm_notifier
unregister_reboot_notifier
unregister_shrinker
unregister_syscore_ops
unregister_sysctl_table
up
update_devfreq
up_read
up_write
usb_add_gadget_udc
usb_add_phy_dev
usb_alloc_coherent
usb_alloc_dev
usb_alloc_urb
usb_amd_dev_put
usb_amd_pt_check_port
usb_amd_quirk_pll_check
usb_amd_quirk_pll_disable
usb_amd_quirk_pll_enable
usb_asmedia_modifyflowcontrol
usb_assign_descriptors
usb_autopm_get_interface
usb_autopm_get_interface_no_resume
usb_autopm_put_interface
usb_autopm_put_interface_no_suspend
usb_bulk_msg
usb_clear_halt
usb_composite_setup_continue
usb_control_msg
usb_debug_root
usb_decode_ctrl
usb_del_gadget_udc
usb_deregister
usb_disabled
usb_disable_xhci_ports
usb_driver_claim_interface
usb_driver_release_interface
usb_enable_autosuspend
usb_enable_intel_xhci_ports
usb_ep_alloc_request
usb_ep_autoconfig
usb_ep_clear_halt
usb_ep_dequeue
usb_ep_disable
usb_ep_enable
usb_ep_fifo_flush
usb_ep_free_request
usb_ep_queue
usb_ep_set_halt
usb_ep_set_maxpacket_limit
usb_ep_set_wedge
usb_find_common_endpoints
usb_free_all_descriptors
usb_free_coherent
usb_free_urb
usb_function_register
usb_function_unregister
usb_gadget_giveback_request
usb_gadget_map_request_by_dev
usb_gadget_set_state
usb_gadget_udc_reset
usb_gadget_unmap_request_by_dev
usb_gadget_vbus_connect
usb_gadget_vbus_disconnect
usb_gadget_vbus_draw
usb_gadget_wakeup
usb_get_current_frame_number
usb_get_dev
usb_get_dr_mode
usb_get_intf
usb_get_maximum_speed
usb_get_urb
usb_gstrings_attach
usb_ifnum_to_if
usb_interface_id
usb_kill_urb
usb_lock_device_for_reset
usb_match_one_id
usb_os_desc_prepare_interf_dir
usb_poison_urb
usb_put_dev
usb_put_function_instance
usb_put_intf
usb_register_driver
usb_register_notify
usb_remove_phy
usb_reset_device
usb_reset_endpoint
usb_root_hub_lost_power
usb_set_device_state
usb_set_interface
usb_sg_cancel
usb_sg_init
usb_sg_wait
usb_speed_string
usb_string
usb_string_id
usb_submit_urb
usb_unlink_urb
usb_unregister_notify
usb_wakeup_notification
__usecs_to_jiffies
usleep_range
v4l2_ctrl_find
v4l2_ctrl_g_ctrl
v4l2_ctrl_get_name
v4l2_ctrl_handler_free
v4l2_ctrl_handler_init_class
v4l2_ctrl_handler_setup
v4l2_ctrl_merge
__v4l2_ctrl_modify_range
v4l2_ctrl_new_custom
v4l2_ctrl_new_std
v4l2_ctrl_new_std_menu
v4l2_ctrl_replace
__v4l2_ctrl_s_ctrl
v4l2_ctrl_subscribe_event
v4l2_device_disconnect
v4l2_device_put
v4l2_device_register
v4l2_device_register_subdev
v4l2_device_register_subdev_nodes
v4l2_device_unregister
v4l2_device_unregister_subdev
v4l2_event_dequeue
v4l2_event_pending
v4l2_event_queue
v4l2_event_queue_fh
v4l2_event_subscribe
v4l2_event_unsubscribe
v4l2_fh_add
v4l2_fh_del
v4l2_fh_exit
v4l2_fh_init
v4l2_fh_open
v4l2_fh_release
v4l2_format_info
v4l2_prio_init
v4l2_s_ctrl
v4l2_subdev_call_wrappers
v4l2_subdev_init
v4l2_type_names
vabits_actual
vb2_buffer_done
vb2_create_bufs
vb2_dqbuf
vb2_expbuf
vb2_fop_mmap
vb2_fop_poll
vb2_fop_read
vb2_fop_release
vb2_ioctl_create_bufs
vb2_ioctl_dqbuf
vb2_ioctl_expbuf
vb2_ioctl_prepare_buf
vb2_ioctl_qbuf
vb2_ioctl_querybuf
vb2_ioctl_reqbufs
vb2_ioctl_streamoff
vb2_ioctl_streamon
vb2_mmap
vb2_ops_wait_finish
vb2_ops_wait_prepare
vb2_plane_vaddr
vb2_poll
vb2_qbuf
vb2_querybuf
vb2_queue_error
vb2_queue_init
vb2_queue_release
vb2_reqbufs
vb2_streamoff
vb2_streamon
vfree
vfs_fsync
video_devdata
video_device_alloc
video_device_release
video_device_release_empty
video_ioctl2
__video_register_device
video_unregister_device
vmalloc
vmalloc_to_page
vmalloc_user
vmap
vmf_insert_mixed
vmf_insert_pfn
vm_get_page_prot
vm_insert_page
vm_iomap_memory
vprintk
vscnprintf
vsnprintf
vunmap
vzalloc
wait_for_completion
wait_for_completion_interruptible
wait_for_completion_interruptible_timeout
wait_for_completion_killable
wait_for_completion_timeout
wait_woken
__wake_up
wake_up_process
wakeup_source_register
wakeup_source_unregister
__warn_printk
wiphy_free
wiphy_new_nm
wiphy_register
wiphy_unregister
woken_wake_function
work_busy
would_dump
ww_mutex_lock
ww_mutex_lock_interruptible
ww_mutex_unlock
xdp_convert_zc_to_xdp_frame
xdp_do_flush_map
xdp_do_redirect
xdp_return_frame
xdp_return_frame_rx_napi
xdp_rxq_info_reg
xdp_rxq_info_reg_mem_model
xdp_rxq_info_unreg