blob: 86b9198838bf62407569f2e5f3f0873e7d0ad450 [file] [log] [blame]
[abi_whitelist]
add_timer
add_timer_on
add_uevent_var
adjust_managed_page_count
alarm_cancel
alarm_init
alarm_start
alloc_chrdev_region
alloc_etherdev_mqs
alloc_netdev_mqs
__alloc_pages_nodemask
__alloc_percpu
__alloc_skb
alloc_workqueue
amba_driver_register
amba_driver_unregister
anon_inode_getfd
__arch_copy_from_user
__arch_copy_in_user
__arch_copy_to_user
arch_set_freq_scale
arch_setup_dma_ops
arm64_const_caps_ready
__arm_smccc_hvc
__arm_smccc_smc
atomic_notifier_call_chain
atomic_notifier_chain_register
atomic_notifier_chain_unregister
backlight_device_unregister
_bcd2bin
bcmp
_bin2bcd
bio_crypt_should_process
__bitmap_clear
__bitmap_parse
bitmap_parselist
__bitmap_set
__bitmap_weight
blkdev_get_by_dev
blkdev_get_by_path
blkdev_put
blk_lookup_devt
blocking_notifier_call_chain
blocking_notifier_chain_register
blocking_notifier_chain_unregister
bpf_trace_run1
bpf_trace_run2
bpf_trace_run3
bpf_trace_run4
bpf_trace_run9
build_skb
bus_register
bus_register_notifier
bus_set_iommu
bus_unregister
bus_unregister_notifier
cache_line_size
cancel_delayed_work
cancel_delayed_work_sync
cancel_work_sync
cdev_add
cdev_del
cdev_device_add
cdev_device_del
cdev_init
__cfi_slowpath
__check_object_size
__class_create
class_destroy
class_find_device
__class_register
class_unregister
clear_page
clk_bulk_disable
clk_bulk_enable
clk_bulk_get_all
clk_bulk_prepare
clk_bulk_put_all
clk_bulk_unprepare
clk_disable
clk_enable
clk_get
__clk_get_hw
__clk_get_name
clk_get_rate
clk_hw_get_name
clk_hw_get_parent
clk_hw_register_divider
clk_hw_register_gate
clk_hw_register_mux
clk_hw_unregister_divider
clk_hw_unregister_gate
clk_hw_unregister_mux
__clk_is_enabled
clk_prepare
clk_put
clk_register
clk_register_clkdev
clk_register_fixed_factor
clk_register_fixed_rate
clk_register_gate
clk_set_parent
clk_set_rate
clk_unprepare
__close_fd
cma_alloc
cma_get_name
cma_release
compat_alloc_user_space
complete
complete_all
complete_and_exit
completion_done
config_ep_by_speed
console_lock
console_trylock
console_unlock
__const_udelay
consume_skb
__cpu_active_mask
cpu_all_bits
cpu_bit_bitmap
cpu_down
cpufreq_cpu_get
cpufreq_cpu_get_raw
cpufreq_cpu_put
cpufreq_driver_resolve_freq
cpufreq_freq_transition_begin
cpufreq_freq_transition_end
cpufreq_frequency_table_verify
cpufreq_generic_attr
cpufreq_quick_get
cpufreq_register_driver
cpufreq_table_index_unsorted
__cpuhp_setup_state
__cpuhp_setup_state_cpuslocked
cpuhp_tasks_frozen
cpu_hwcap_keys
cpu_hwcaps
cpumask_next
cpumask_next_and
cpu_number
__cpu_online_mask
cpu_pm_register_notifier
__cpu_possible_mask
cpus_read_lock
cpus_read_unlock
cpu_subsys
cpu_topology
cpu_up
crypto_alloc_shash
crypto_destroy_tfm
crypto_shash_final
crypto_shash_update
dapm_pinctrl_event
dapm_regulator_event
default_llseek
delayed_work_timer_fn
del_timer
del_timer_sync
destroy_workqueue
_dev_crit
dev_driver_string
_dev_emerg
_dev_err
devfreq_add_device
devfreq_add_governor
devfreq_recommended_opp
devfreq_register_opp_notifier
devfreq_remove_device
devfreq_unregister_opp_notifier
devfreq_update_status
dev_fwnode
dev_get_regmap
dev_get_stats
device_add
device_connection_find_match
device_create
device_create_bin_file
device_create_file
device_destroy
device_find_child
device_for_each_child
device_get_dma_attr
device_initialize
device_init_wakeup
device_link_add
device_link_del
device_match_fwnode
device_match_name
device_property_present
device_property_read_string
device_property_read_string_array
device_property_read_u32_array
device_property_read_u8_array
device_register
device_remove_file
device_show_bool
device_show_int
device_store_bool
device_store_int
device_unregister
_dev_info
__dev_kfree_skb_any
devm_backlight_device_register
devm_clk_get
devm_extcon_register_notifier
devm_free_irq
devm_gpiochip_add_data
devm_gpiod_get_optional
devm_gpio_request_one
devm_iio_device_alloc
devm_ioremap
devm_ioremap_nocache
devm_ioremap_resource
devm_iounmap
devm_kasprintf
devm_kfree
devm_kmalloc
devm_kmemdup
devm_kstrdup
__devm_of_phy_provider_register
devm_phy_create
devm_phy_get
devm_pinctrl_get
devm_pinctrl_register
devm_platform_ioremap_resource
__devm_regmap_init_i2c
__devm_regmap_init_mmio_clk
devm_regulator_bulk_get
devm_regulator_get
devm_regulator_register
__devm_request_region
devm_request_threaded_irq
devm_reset_control_array_get
__devm_reset_control_get
devm_rtc_device_register
devm_snd_dmaengine_pcm_register
devm_snd_soc_register_card
devm_snd_soc_register_component
devm_usb_get_phy
devm_usb_get_phy_by_phandle
_dev_notice
dev_pm_opp_add
dev_pm_opp_disable
dev_pm_opp_find_freq_ceil
dev_pm_opp_find_freq_floor
dev_pm_opp_get_freq
dev_pm_opp_get_voltage
dev_pm_opp_of_add_table
dev_pm_opp_of_remove_table
dev_pm_opp_put
dev_pm_opp_put_regulators
dev_pm_opp_set_regulators
dev_printk
devres_add
devres_alloc_node
devres_free
dev_set_mac_address
dev_set_name
_dev_warn
disable_irq
disable_irq_nosync
dma_alloc_attrs
dma_async_device_register
dma_async_device_unregister
dma_async_tx_descriptor_init
dma_buf_attach
dma_buf_begin_cpu_access
dma_buf_detach
dma_buf_end_cpu_access
dma_buf_export
dma_buf_fd
dma_buf_get
dma_buf_get_flags
dma_buf_kmap
dma_buf_kunmap
dma_buf_map_attachment
dma_buf_mmap
dma_buf_put
dma_buf_unmap_attachment
dma_buf_vmap
dma_buf_vunmap
dma_direct_map_page
dma_direct_map_resource
dma_direct_map_sg
dma_direct_sync_sg_for_cpu
dma_direct_sync_sg_for_device
dma_direct_sync_single_for_cpu
dma_direct_sync_single_for_device
dma_direct_unmap_page
dma_direct_unmap_sg
dmaengine_unmap_put
dma_fence_add_callback
dma_fence_context_alloc
dma_fence_default_wait
dma_fence_get_status
dma_fence_init
dma_fence_release
dma_fence_remove_callback
dma_fence_signal
dma_fence_wait_timeout
dma_free_attrs
dma_get_slave_caps
dma_get_slave_channel
dmam_alloc_attrs
dma_mmap_attrs
dma_release_channel
dma_request_chan
dma_request_slave_channel
dma_set_coherent_mask
dma_set_mask
do_SAK
down
downgrade_write
down_read
down_trylock
down_write
driver_find
driver_find_device
driver_register
driver_unregister
dump_stack
dw_pcie_host_init
dw_pcie_msi_init
dw_pcie_read
dw_pcie_setup_rc
dw_pcie_write
enable_irq
end_buffer_read_sync
eth_mac_addr
ethtool_op_get_link
eth_type_trans
eth_validate_addr
event_triggers_call
extcon_get_edev_by_phandle
extcon_get_state
fb_mode_option
fd_install
fget
find_next_bit
find_next_zero_bit
find_vma
finish_wait
firmware_request_nowarn
flush_dcache_page
flush_delayed_work
flush_work
flush_workqueue
fput
frame_vector_create
frame_vector_destroy
frame_vector_to_pages
free_irq
free_netdev
__free_pages
free_pages
freezing_slow_path
freq_qos_add_request
freq_qos_update_request
fwnode_property_present
fwnode_property_read_u16_array
generic_handle_irq
gen_pool_add_owner
gen_pool_alloc_algo_owner
gen_pool_create
gen_pool_destroy
gen_pool_free_owner
__getblk_gfp
get_cpu_device
get_device
__get_free_pages
get_random_bytes
__get_task_comm
get_task_exe_file
get_task_mm
get_unused_fd_flags
get_user_pages
get_user_pages_fast
get_user_pages_remote
get_vaddr_frames
get_zeroed_page
gpiochip_generic_free
gpiochip_generic_request
gpiochip_get_data
gpiochip_lock_as_irq
gpiochip_unlock_as_irq
gpiod_direction_input
gpiod_direction_output_raw
gpiod_get_raw_value
gpiod_get_raw_value_cansleep
gpiod_set_raw_value
gpiod_set_value_cansleep
gpiod_to_irq
gpio_free
gpio_request
gpio_request_one
gpio_to_desc
gs_alloc_req
gserial_alloc_line
gserial_connect
gserial_disconnect
gserial_free_line
gs_free_req
handle_edge_irq
handle_level_irq
handle_nested_irq
handle_sysrq
hex_to_bin
hrtimer_active
hrtimer_cancel
hrtimer_forward
hrtimer_init
hrtimer_start_range_ns
hrtimer_try_to_cancel
hwrng_register
hwrng_unregister
i2c_adapter_type
i2c_add_numbered_adapter
i2c_bus_type
i2c_del_adapter
i2c_del_driver
i2c_for_each_dev
i2c_get_adapter
i2c_new_dummy
i2c_put_adapter
i2c_register_driver
i2c_smbus_read_byte_data
i2c_smbus_read_i2c_block_data
i2c_smbus_read_word_data
i2c_smbus_write_byte_data
i2c_smbus_write_i2c_block_data
i2c_smbus_write_word_data
i2c_smbus_xfer
i2c_transfer
i2c_transfer_buffer_flags
i2c_unregister_device
i2c_verify_client
ida_alloc_range
ida_destroy
ida_free
ignore_console_lock_warning
iio_channel_get
iio_channel_release
__iio_device_register
iio_device_unregister
iio_read_channel_processed
in4_pton
in6_pton
init_dummy_netdev
__init_rwsem
init_task
init_timer_key
init_wait_entry
__init_waitqueue_head
input_allocate_device
input_event
input_free_device
input_mt_destroy_slots
input_mt_init_slots
input_mt_report_slot_state
input_register_device
input_set_abs_params
input_set_capability
input_unregister_device
iomem_resource
iommu_attach_group
iommu_device_link
iommu_device_register
iommu_device_sysfs_add
iommu_device_sysfs_remove
iommu_device_unlink
iommu_device_unregister
iommu_dma_reserve_iova
iommu_domain_alloc
iommu_fwspec_add_ids
iommu_fwspec_free
iommu_get_dma_cookie
iommu_get_domain_for_dev
iommu_group_alloc
iommu_group_for_each_dev
iommu_group_get
iommu_group_get_for_dev
iommu_group_get_iommudata
iommu_group_put
iommu_group_remove_device
iommu_group_set_iommudata
iommu_group_set_name
iommu_iova_to_phys
iommu_map
iommu_map_sg
iommu_put_dma_cookie
iommu_register_device_fault_handler
iommu_report_device_fault
iommu_unmap
iommu_unregister_device_fault_handler
ion_alloc
ion_buffer_prep_noncached
__ion_device_add_heap
ion_device_remove_heap
ion_heap_map_user
ion_query_heaps_kernel
__ioread32_copy
__ioremap
iounmap
__iowrite32_copy
__irq_alloc_descs
irq_create_mapping
__irq_domain_add
irq_domain_remove
irq_domain_xlate_twocell
irq_find_mapping
irq_get_irq_data
irq_modify_status
irq_of_parse_and_map
irq_set_affinity_hint
irq_set_chained_handler_and_data
irq_set_chip_and_handler_name
irq_set_chip_data
irq_set_handler_data
irq_set_irq_wake
irq_to_desc
is_console_locked
jiffies
jiffies_64
jiffies_64_to_clock_t
jiffies_to_msecs
jiffies_to_usecs
kasprintf
kernel_kobj
kernel_write
keyslot_manager_create_passthrough
keyslot_manager_private
__kfifo_alloc
__kfifo_free
__kfifo_in
__kfifo_out
kfree
kfree_call_rcu
kfree_const
kimage_vaddr
kimage_voffset
__kmalloc
kmalloc_caches
kmalloc_order_trace
kmem_cache_alloc
kmem_cache_alloc_trace
kmem_cache_create
kmem_cache_destroy
kmem_cache_free
kmemdup
kobject_create_and_add
kobject_init_and_add
kobject_put
kobject_uevent
kobject_uevent_env
krealloc
kstat
kstrdup
kstrndup
kstrtobool
kstrtoint
kstrtoint_from_user
kstrtou8
kstrtoull
kthread_bind
kthread_cancel_work_sync
kthread_create_on_node
kthread_create_worker
kthread_destroy_worker
kthread_flush_worker
__kthread_init_worker
kthread_queue_work
kthread_should_stop
kthread_stop
kthread_worker_fn
ktime_get
ktime_get_mono_fast_ns
ktime_get_raw_ts64
ktime_get_real_ts64
ktime_get_with_offset
kvasprintf
kvfree
kvmalloc_node
kzfree
__list_add_valid
__list_del_entry_valid
__lock_buffer
loops_per_jiffy
match_string
media_create_intf_link
media_create_pad_link
media_device_register_entity
media_device_unregister_entity
media_devnode_create
media_devnode_remove
media_entity_pads_init
media_entity_remove_links
media_remove_intf_links
memchr
memcpy
__memcpy_fromio
__memcpy_toio
memdup_user
memset
__memset_io
mfd_add_devices
mfd_remove_devices
misc_deregister
misc_register
mmc_add_host
mmc_alloc_host
mmc_can_gpio_cd
mmc_detect_change
mmc_free_host
mmc_gpio_get_cd
mmc_gpio_get_ro
mmc_of_parse
mmc_regulator_get_supply
mmc_regulator_set_ocr
mmc_regulator_set_vqmmc
mmc_remove_host
mmc_request_done
mmc_wait_for_req
__mmdrop
mmput
mm_trace_rss_stat
mod_delayed_work_on
mod_timer
__module_get
module_layout
module_put
__msecs_to_jiffies
msleep
__mutex_init
mutex_lock
mutex_lock_interruptible
mutex_trylock
mutex_unlock
__napi_alloc_skb
napi_complete_done
napi_gro_flush
napi_gro_receive
__napi_schedule
napi_schedule_prep
__netdev_alloc_skb
netif_carrier_off
netif_carrier_on
netif_napi_add
netif_receive_skb
netif_rx
netif_tx_wake_queue
no_llseek
noop_llseek
nr_cpu_ids
nr_irqs
nsecs_to_jiffies
ns_to_timespec
ns_to_timeval
__num_online_cpus
of_address_to_resource
of_alias_get_id
of_clk_add_hw_provider
of_clk_add_provider
of_clk_del_provider
of_clk_get
of_clk_get_parent_count
of_clk_hw_onecell_get
of_clk_src_onecell_get
of_count_phandle_with_args
of_device_get_match_data
of_device_is_available
of_device_is_compatible
of_dma_controller_free
of_dma_controller_register
of_find_compatible_node
of_find_device_by_node
of_find_matching_node_and_match
of_find_node_by_name
of_find_node_by_type
of_find_node_opts_by_path
of_find_property
of_genpd_add_provider_simple
of_get_child_by_name
of_get_dma_window
of_get_named_gpio_flags
of_get_next_available_child
of_get_next_child
of_get_property
of_get_regulator_init_data
of_iomap
of_match_device
of_match_node
of_node_name_eq
of_parse_phandle
of_phandle_iterator_init
of_phandle_iterator_next
of_platform_depopulate
of_platform_populate
of_property_count_elems_of_size
of_property_match_string
of_property_read_string
of_property_read_string_helper
of_property_read_u32_index
of_property_read_variable_u32_array
of_property_read_variable_u8_array
of_prop_next_string
of_prop_next_u32
of_pwm_xlate_with_flags
of_reserved_mem_device_init_by_idx
of_reserved_mem_device_release
of_reserved_mem_lookup
of_reset_control_array_get
of_root
of_usb_get_phy_mode
oops_in_progress
panic
panic_notifier_list
param_ops_bool
param_ops_byte
param_ops_int
param_ops_long
param_ops_uint
param_ops_ulong
pci_find_bus
pci_get_device
pci_load_saved_state
pcim_enable_device
pci_read_config_dword
__pci_register_driver
pci_rescan_bus
pci_restore_state
pci_save_state
pci_set_master
pci_store_saved_state
pci_unregister_driver
pci_write_config_dword
__per_cpu_offset
perf_event_create_kernel_counter
perf_event_disable
perf_event_enable
perf_event_read_value
perf_event_release_kernel
perf_trace_buf_alloc
perf_trace_run_bpf_submit
pfn_valid
phy_calibrate
phy_exit
phy_init
phy_power_off
phy_power_on
phy_reset
phy_set_mode_ext
physvirt_offset
pinctrl_add_gpio_range
pinctrl_dev_get_drvdata
pinctrl_force_sleep
pinctrl_get
pinctrl_lookup_state
pinctrl_pm_select_default_state
pinctrl_pm_select_sleep_state
pinctrl_put
pinctrl_remove_gpio_range
pinctrl_select_state
platform_bus_type
platform_device_add
platform_device_add_data
platform_device_add_properties
platform_device_add_resources
platform_device_alloc
platform_device_del
platform_device_put
platform_device_register
platform_device_register_full
platform_device_unregister
__platform_driver_register
platform_driver_unregister
platform_get_irq
platform_get_irq_byname
platform_get_irq_byname_optional
platform_get_resource
platform_get_resource_byname
__platform_register_drivers
pm_genpd_init
pm_power_off
pm_qos_remove_request
pm_qos_update_request
__pm_relax
pm_relax
pm_runtime_allow
pm_runtime_barrier
__pm_runtime_disable
pm_runtime_enable
pm_runtime_forbid
pm_runtime_force_resume
pm_runtime_force_suspend
pm_runtime_get_if_in_use
__pm_runtime_idle
pm_runtime_irq_safe
pm_runtime_no_callbacks
__pm_runtime_resume
pm_runtime_set_autosuspend_delay
__pm_runtime_set_status
__pm_runtime_suspend
__pm_runtime_use_autosuspend
__pm_stay_awake
pm_stay_awake
pm_wakeup_dev_event
pm_wakeup_ws_event
power_supply_changed
power_supply_get_by_name
power_supply_get_drvdata
power_supply_get_property
power_supply_put
power_supply_register
power_supply_unregister
preempt_schedule
preempt_schedule_notrace
prepare_to_wait_event
print_hex_dump
printk
proc_create_seq_private
__put_cred
put_device
__put_page
__put_task_struct
put_tty_driver
put_unused_fd
put_vaddr_frames
pwmchip_add
pwmchip_remove
pwm_get_chip_data
pwm_set_chip_data
queue_delayed_work_on
queue_work_on
__raw_notifier_call_chain
raw_notifier_call_chain
raw_notifier_chain_register
raw_notifier_chain_unregister
_raw_read_lock
_raw_read_lock_irqsave
_raw_read_unlock
_raw_read_unlock_irqrestore
_raw_spin_lock
_raw_spin_lock_bh
_raw_spin_lock_irq
_raw_spin_lock_irqsave
_raw_spin_trylock
_raw_spin_unlock
_raw_spin_unlock_bh
_raw_spin_unlock_irq
_raw_spin_unlock_irqrestore
_raw_write_lock_irqsave
_raw_write_unlock_irqrestore
rb_erase
rb_first
rb_insert_color
rb_next
rb_prev
rb_replace_node
__rcu_read_lock
__rcu_read_unlock
rdev_get_drvdata
rdev_get_id
reboot_mode
refcount_dec_and_test_checked
refcount_inc_checked
refcount_inc_not_zero_checked
__refrigerator
regcache_cache_only
regcache_drop_region
regcache_mark_dirty
regcache_sync
__register_chrdev
register_chrdev_region
register_console
register_die_notifier
register_netdev
register_pm_notifier
register_reboot_notifier
register_restart_handler
register_shrinker
register_syscore_ops
regmap_async_complete
regmap_bulk_read
regmap_multi_reg_write
regmap_multi_reg_write_bypassed
regmap_raw_read
regmap_raw_write
regmap_raw_write_async
regmap_read
regmap_update_bits_base
regmap_write
regulator_bulk_disable
regulator_bulk_enable
regulator_disable
regulator_enable
regulator_force_disable
regulator_get
regulator_get_optional
regulator_is_enabled
regulator_list_voltage_linear
regulator_map_voltage_linear
regulator_put
release_firmware
release_pages
__release_region
remap_pfn_range
remap_vmalloc_range
remove_proc_entry
request_firmware
request_firmware_direct
request_firmware_nowait
__request_module
__request_region
request_threaded_irq
reset_control_assert
reset_control_deassert
reset_control_put
reset_control_reset
return_address
rps_needed
rtc_class_close
rtc_class_open
rtc_read_time
rtc_time64_to_tm
rtc_tm_to_time64
rtc_update_irq
rtc_valid_tm
rtnl_lock
rtnl_unlock
sched_clock
sched_setscheduler
sched_setscheduler_nocheck
sched_show_task
schedule
schedule_timeout
scnprintf
scsi_block_when_processing_errors
scsi_device_get
__scsi_device_lookup_by_target
__scsi_execute
scsi_print_sense_hdr
sdev_prefix_printk
sdio_signal_irq
seq_lseek
seq_printf
seq_read
set_cpus_allowed_ptr
set_normalized_timespec64
set_page_dirty_lock
sg_alloc_table
sg_alloc_table_from_pages
sg_copy_to_buffer
sg_free_table
sg_init_one
sg_init_table
sg_miter_next
sg_miter_start
sg_miter_stop
sg_nents_for_len
sg_next
simple_open
simple_read_from_buffer
simple_strtol
simple_strtoul
single_open
single_release
skb_copy_expand
skb_dequeue
skb_pull
skb_push
skb_put
skb_queue_head
skb_queue_purge
skb_queue_tail
skb_realloc_headroom
skb_trim
smpboot_register_percpu_thread
smp_call_function
smp_call_function_single
snd_compr_stop_error
snd_ctl_boolean_mono_info
snd_ctl_notify
snd_device_free
snd_dma_alloc_pages
snd_dmaengine_pcm_prepare_slave_config
snd_dma_free_pages
snd_hwdep_new
snd_pcm_format_physical_width
snd_pcm_format_width
snd_pcm_hw_constraint_list
snd_pcm_lib_free_pages
snd_pcm_lib_ioctl
snd_pcm_lib_malloc_pages
snd_pcm_lib_preallocate_free_for_all
snd_pcm_lib_preallocate_pages
snd_pcm_period_elapsed
snd_pcm_rate_range_to_bits
snd_soc_add_component_controls
snd_soc_bytes_info_ext
snd_soc_bytes_tlv_callback
snd_soc_card_get_kcontrol
snd_soc_component_async_complete
snd_soc_component_disable_pin
snd_soc_component_force_enable_pin
snd_soc_component_init_regmap
snd_soc_component_read
snd_soc_component_set_pll
snd_soc_component_set_sysclk
snd_soc_component_update_bits
snd_soc_component_update_bits_async
snd_soc_component_write
snd_soc_dai_set_bclk_ratio
snd_soc_dai_set_channel_map
snd_soc_dai_set_sysclk
snd_soc_dai_set_tdm_slot
snd_soc_dapm_add_routes
snd_soc_dapm_disable_pin
snd_soc_dapm_enable_pin
snd_soc_dapm_get_enum_double
snd_soc_dapm_get_pin_status
snd_soc_dapm_get_pin_switch
snd_soc_dapm_get_volsw
snd_soc_dapm_ignore_suspend
snd_soc_dapm_info_pin_switch
snd_soc_dapm_new_control
snd_soc_dapm_new_controls
snd_soc_dapm_put_enum_double
snd_soc_dapm_put_pin_switch
snd_soc_dapm_put_volsw
snd_soc_dapm_sync
snd_soc_dapm_weak_routes
snd_soc_find_dai
snd_soc_get_enum_double
snd_soc_get_pcm_runtime
snd_soc_get_volsw
snd_soc_get_volsw_range
snd_soc_get_xr_sx
snd_soc_info_enum_double
snd_soc_info_volsw
snd_soc_info_volsw_range
snd_soc_info_volsw_sx
snd_soc_info_xr_sx
snd_soc_new_compress
snd_soc_of_get_dai_link_codecs
snd_soc_of_get_dai_name
snd_soc_of_parse_audio_routing
snd_soc_of_parse_daifmt
snd_soc_of_put_dai_link_codecs
snd_soc_params_to_bclk
snd_soc_pm_ops
snd_soc_put_enum_double
snd_soc_put_volsw
snd_soc_put_volsw_range
snd_soc_put_xr_sx
snd_soc_register_card
snd_soc_register_component
snd_soc_set_runtime_hwparams
snd_soc_tplg_component_load
snd_soc_tplg_component_remove
snd_soc_tplg_widget_bind_event
snd_soc_unregister_card
snd_soc_unregister_component
snprintf
soc_device_register
sort
__spi_alloc_controller
spi_controller_resume
spi_controller_suspend
spi_finalize_current_message
spi_register_controller
__spi_register_driver
spi_setup
spi_sync
spi_unregister_controller
split_page
sprintf
sprint_symbol
srcu_init_notifier_head
srcu_notifier_call_chain
srcu_notifier_chain_register
srcu_notifier_chain_unregister
sscanf
__stack_chk_fail
__stack_chk_guard
static_key_initialized
static_key_slow_dec
static_key_slow_inc
strcat
strchr
strchrnul
strcmp
strcpy
strcspn
stream_open
strlcat
strlcpy
strlen
strncat
strncmp
strncpy
strnlen
strpbrk
strsep
strstr
submit_bh
subsys_system_register
__sw_hweight32
__sw_hweight64
__sync_dirty_buffer
sync_file_create
sync_file_get_fence
synchronize_irq
synchronize_rcu
syscon_regmap_lookup_by_phandle
sysfs_add_file_to_group
sysfs_create_file_ns
sysfs_create_group
sysfs_create_groups
sysfs_create_link
__sysfs_match_string
sysfs_notify
sysfs_remove_file_ns
sysfs_remove_group
sysfs_remove_link
sysfs_streq
system_freezable_wq
system_freezing_cnt
system_highpri_wq
system_state
system_unbound_wq
system_wq
sys_tz
tasklet_init
tasklet_kill
__tasklet_schedule
time64_to_tm
_totalram_pages
touch_softlockup_watchdog
trace_define_field
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_ignore_this_pid
trace_event_raw_init
trace_event_reg
trace_handle_return
__trace_puts
trace_raw_output_prep
trace_seq_printf
try_module_get
__tty_alloc_driver
tty_flip_buffer_push
tty_hangup
tty_insert_flip_string_fixed_flag
tty_kref_put
tty_port_destroy
tty_port_init
tty_port_register_device
tty_port_tty_get
tty_register_driver
tty_set_operations
tty_std_termios
tty_unregister_device
tty_unregister_driver
tty_wakeup
typec_register_partner
typec_register_port
typec_set_data_role
typec_set_pwr_opmode
typec_set_pwr_role
typec_unregister_partner
typec_unregister_port
uart_add_one_port
uart_console_write
uart_get_baud_rate
uart_parse_options
uart_register_driver
uart_remove_one_port
uart_resume_port
uart_set_options
uart_suspend_port
uart_unregister_driver
uart_update_timeout
uart_write_wakeup
__udelay
ufshcd_dme_get_attr
ufshcd_dme_set_attr
ufshcd_pltfrm_init
ufshcd_remove
ufshcd_shutdown
ufshcd_system_resume
ufshcd_system_suspend
unmap_mapping_range
__unregister_chrdev
unregister_chrdev_region
unregister_netdev
unregister_pm_notifier
unregister_reboot_notifier
unregister_restart_handler
unregister_shrinker
up
update_devfreq
up_read
up_write
usb_add_function
usb_add_gadget_udc
usb_assign_descriptors
usb_copy_descriptors
usb_decode_ctrl
usb_del_gadget_udc
usb_ep_alloc_request
usb_ep_autoconfig
usb_ep_dequeue
usb_ep_disable
usb_ep_enable
usb_ep_free_request
usb_ep_queue
usb_ep_set_halt
usb_ep_set_maxpacket_limit
usb_free_all_descriptors
usb_function_register
usb_function_unregister
usb_gadget_giveback_request
usb_gadget_map_request_by_dev
usb_gadget_set_state
usb_gadget_udc_reset
usb_gadget_unmap_request_by_dev
usb_gadget_vbus_connect
usb_gadget_vbus_disconnect
usb_get_dr_mode
usb_get_maximum_speed
usb_gstrings_attach
usb_hub_find_child
usb_interface_id
usb_os_desc_prepare_interf_dir
usb_otg_state_string
usb_put_function_instance
usb_register_notify
usb_speed_string
usb_string_id
usb_unregister_notify
__usecs_to_jiffies
usleep_range
v4l2_ctrl_handler_free
v4l2_ctrl_handler_init_class
v4l2_ctrl_handler_setup
v4l2_ctrl_log_status
v4l2_ctrl_new_custom
v4l2_ctrl_new_std
v4l2_ctrl_new_std_menu
v4l2_device_register
v4l2_device_register_subdev
v4l2_device_register_subdev_nodes
v4l2_device_unregister
v4l2_device_unregister_subdev
v4l2_event_pending
v4l2_fh_add
v4l2_fh_del
v4l2_fh_exit
v4l2_fh_init
v4l2_subdev_call_wrappers
v4l2_subdev_init
v4l_bound_align_image
vabits_actual
vb2_buffer_done
vb2_create_bufs
vb2_dqbuf
vb2_expbuf
vb2_mmap
vb2_ops_wait_finish
vb2_ops_wait_prepare
vb2_plane_cookie
vb2_plane_vaddr
vb2_poll
vb2_prepare_buf
vb2_qbuf
vb2_querybuf
vb2_queue_init
vb2_queue_release
vb2_reqbufs
vb2_request_object_is_buffer
vb2_streamoff
vb2_streamon
vb2_wait_for_all_buffers
vfree
video_devdata
video_device_alloc
video_device_release
video_ioctl2
__video_register_device
video_unregister_device
vmalloc
vmalloc_to_page
vmalloc_user
vmap
vmemmap
vmf_insert_pfn_prot
vm_get_page_prot
vm_iomap_memory
vm_map_pages
vm_map_ram
vm_unmap_ram
vsnprintf
vunmap
vzalloc
wait_for_completion
wait_for_completion_interruptible
wait_for_completion_interruptible_timeout
wait_for_completion_killable
wait_for_completion_timeout
__wait_on_buffer
__wake_up
wake_up_process
wakeup_source_add
wakeup_source_create
wakeup_source_destroy
wakeup_source_register
wakeup_source_unregister
__warn_printk
watchdog_init_timeout
watchdog_register_device
watchdog_set_restart_priority
watchdog_unregister_device
work_busy